Publications Repository - Helmholtz-Zentrum Dresden-Rossendorf

"Online First" included
Approved and published publications
Only approved publications

41491 Publications

Contribution of ICP-IDMS to the certification of antimony implanted in a silicon wafer - comparison with RBS and INAA results

Pritzkow, W.; Vogl, J.; Berger, A.; Ecker, K.; Grötzschel, R.; Klingbeil, P.; Persson, L.; Riebe, G.; Wätjen, U.

A thin-layer reference material for surface and near-surface analytical methods was produced and certified. The surface density of the implanted Sb layer was determined by Rutherford backscattering spectrometry (RBS), instrumental neutron activation analysis (INAA), and inductively coupled plasma isotope dilution mass spectrometry (ICP-IDMS) equipped with a multi-collector. The isotopic abundances of Sb (121Sb and 123Sb) were determined by multi-collector ICP-MS and INAA. ICP-IDMS measurements are discussed in detail in this paper. All methods produced values traceable to the SI and are accompanied by a complete uncertainty budget. The homogeneity of the material was measured with RBS. From these measurements the standard uncertainty due to possible inhomogeneities was estimated to be less than 0.78% for fractions of the area increments down to 0.75 mm2 in size. Excellent agreement between the results of the three different methods was found. For the surface density of implanted Sb atoms the unweighted mean value of the means of four data sets is 4.811016 cm-2 with an expanded uncertainty (coverage factor k=2) of 0.091016 cm-2. For the isotope amount ratio R (121Sb/123Sb) the unweighted mean value of the means of two data sets is 1.435 with an expanded uncertainty (coverage factor k=2) of 0.006.

Keywords: RBS; NRA; Standard certification

  • Fresenius' Journal of Analytical Chemistry Volume 371 Number 6 November 2001, 867

Permalink: https://www.hzdr.de/publications/Publ-4918


Determination of stopping power of channeld alpha-particles in SiO2in the backscattering geometry

Kokkoris, M.; Kossionides, E.; Vlastou, R.; Aslanoglou, X. A.; Grötzschel, R.; Paradellis, T.

Energy spectra of protons channeling along the (0001) axis of several SiC polytype crystals, (namely 4H, 6H, 15R, 21R) in the energy region Ep=1.7-2.5 MeV, in the backscattering geometry, were taken and analyzed. Computer simulations based on the assumption that the dechanneling of protons follows an exponential law are in very good agreement with the measured spectra. The obtained results for the two crucial channeling parameters, ?, the mean channeling distance, and, a, the ratio of the stopping powers in the aligned and random mode are compared for the different structures and an attempt is made to explain the occuring similarities as well as the differences, in order to evaluate the use of SiC polytypes as substrates in implantations and thin film depositions. An attempt is also made to correlate the results from the present work to the ones obtained in the past for simpler crystallographic structures, namely Si <100> and Si <111>, as well as more complex ones, such as SiO2 (c-axis).

Keywords: Backscattering; Channeling; Nuclear resonance; Quartz crystal

  • AIP Conf. Proceedings 576, Melville,(2001) 7

Permalink: https://www.hzdr.de/publications/Publ-4917


Determination of the stopping power of channeled protons in SiO2 in the backscattering geometry

Kokkoris, M.; Vlastou, R.; Aslanoglou, X. A.; Kossionides, E.; Grötzschel, R.; Paradellis, T.

Energy spectra of protons channeling along the optical axis (c-axis) of a quartz crystal in the energy region Ep=1.7¯2.5 MeV in the backscattering geometry were taken and analyzed. Computer simulations based on the assumption that the dechanneling of protons follows an exponential law are in good agreement with the measured spectra, yielding electronic stopping powers for the specific crystal orientation that vary between 2.35 and 1.74 eV/Å, respectively, for the energy interval in consideration. The results are compared with the ones obtained in the past for simpler crystallographic structures, namely Si <1 0 0> and Si <1 1 1>, and an attempt is made to explain the occurring similarities as well as the differences.

Keywords: Backscattering; Channeling; Nuclear resonance; Quartz crystal; Protons

  • Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms Volume 173, Issue 4, February 2001, Pages 411-416

Permalink: https://www.hzdr.de/publications/Publ-4916


Determination of parameters for channeling of protons in SiC polytype crystals in backscattering geometry

Kokkoris, M.; Kossionides, E.; Vlastou, R.; Aslanoglou, X. A.; Grötzschel, R.; Nsouli, B.; Kuznetsov, A.; Petrovic, S.; Paradellis, T.

Energy spectra of protons channeling along the (0 0 0 1) axis of several SiC polytype crystals (namely 4H, 6H, 15R, 21R) in the energy region Ep=1.7¯2.5 MeV, in the backscattering geometry, were taken and analyzed. Computer simulations based on the assumption that the dechanneling of protons follows an exponential law are in very good agreement with the measured spectra. The obtained results for the two crucial channeling parameters, , the mean channeling distance, and, , the ratio of the stopping powers in the aligned and random mode are compared for the different structures and an attempt is made to explain the occurring similarities as well as the differences, in order to evaluate the use of SiC polytypes as substrates in implantations and thin film depositions. An attempt is also made to correlate the results from the present work to the ones obtained in the past for simpler crystallographic structures, namely Si(1 0 0) and Si(1 1 1), as well as more complex ones, such as SiO2 (c-axis).

Keywords: Backscattering; Channeling; Nuclear resonance; SiC crystal; Protons; Polytype

  • Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms Volume 184, Issue 3, November 2001, Pages 319-326

Permalink: https://www.hzdr.de/publications/Publ-4915


Study of the calcium phosphate layer grown on AISI 316 stainless steel from a simulated body fluid

Pecheva, E.; Pramatarova, L.; Maitz, M. F.; Pham, M. T.

A calcium phosphate layer was deposited on the surface of AISI 316 stainless steel by immersion in a solution, supersaturated for calcium and phosphorous ions. The substrates were pre-modified by ion implantation of Ca and P in order to induce nuclei for calcium phosphate growth on the surface. The reactivity of these surfaces towards crystal growth in aqueous solution was examined by Fourier Transform Infrared Spectroscopy, Raman Spectroscopy, Scanning Electron Microscopy and Energy Dispersive X-ray Spectroscopy. They showed that the deposited layer was a mixture of hydroxyapatite and other calcium phosphates.

Keywords: hydroxyapatite; calcium phosphate; simulated body fluid

  • Poster
    12th International School on Quantum Electronics: Lasers-Physics and Applications September 15-20, 2002, Varna, Bulgaria Varna, Bulgaria
  • Journal of Materials Science - Materials in Electronics 14 (2003): 775-6

Permalink: https://www.hzdr.de/publications/Publ-4914


Zeitaufgelöste Spektroskopie an Halbleiterheterostrukturen mit dem Freie-Elektronen Laser an ELBE

Dekorsy, T.

Die Grundlagen der zeitaufgelösten Spektroskopie an Halbleitern und Halbleiterheterostrukturen mit dem Freie-Elektronen Laser
an ELBE werden erläutert mit Schwerpunkt auf der Dynamik von Intersubbandübergängen. Neben Anrege-Abfrage Experimenten mit einer Wellenenlänge (degenerate pump-probe) liefern Mehrfarben-Experimente mit synchronisierten Femtosekunden Lasern zusätzliche wichtige Informationen über elektronische Nichtgleichgewichts-Verteilungsfunktionen. Desweiteren werden die Techniken des Vier-Wellen Mischens und des spektralen Lochbrennens diskutiert, die bei der Beantwortung von Fragen nach inhomogenen/homogenen Verbreiterungen von Übergängen wichtig sind.

  • Contribution to WWW
    FZR ELBE Web-pages

Permalink: https://www.hzdr.de/publications/Publ-4913


Ion nitriding of aluminium

Fitz, T.

no abstract delivered from author

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-354 September 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4912


Röntgen-Tomographie mit Hilfe einer Elektronenstrahl-Schweißanlage

Hoppe, D.; Fietz, J.; Zippe, C.; Koch, D.

Eine vorhandene Elektronenstrahl-Schweißanlage soll mit geringstem technischen Zusatzaufwand zur Röntgen-Tomographie eingesetzt werden. Im Vakuum der Schweißanlage werden dafür neben einer geeigneten Anode für den Elektronenstrahl nur eine einfache Vorrichtung zum Drehen des Untersuchungsobjektes und insbesondere nur ein einziger Detektor für die Röntgenstrahlung untergebracht. Die zur tomographischen Bildrekonstruktion benötigte Information soll allein aus der Messgröße dieses Detektors gewonnen werden.

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-361 November 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4911


Atomistic simulation of defect formation and evolution in Si within the first 100 - 1000 ps after ion impact

Posselt, M.

Ion bombardment causes atomic collisions and the displacement of target atoms. The subsequent athermal and rapid thermal relaxation processes lead to a (meta)stable defect structure which can still be changed by thermal activation. The initial stage of defect formation occurs on very small time and length scales and is therefore hardly accessible by available experimental methods. Therefore, atomistic computer simulations are employed to investigate these processes and to determine the (meta)stable defect structure formed. The knowledge of details of this damage state, e.g. of type and amount of defects, can contribute to a better understanding of ion implantation and ion-assisted layer deposition.
In this talk, a combined atomistic simulation method is used to study a relatively simple case, the defect formation by a single ion impact in bulk silicon. The procedure allows the effective calculation of the total number and the depth distribution of different defect species (isolated vacancies and self-intersitials as well as more complex defects) formed on average per incident ion. Furthermore, it enables investigations on the temporal evolution of the defect structure up to several 100 ps after ion impact, and on the influence of the target temperature on this process.

Keywords: defect formation; silicon; ion bombardment; simulation

  • Invited lecture (Conferences)
    International Workshop on the Effect of Ions in Layer Growth, Balatonvilagos, Hungary, September 9 - 12, 2002 (invited talk)

Permalink: https://www.hzdr.de/publications/Publ-4910


Molecular dynamics study of atomic displacements and subsequent lattice relaxation in 3C- and 4H-SiC

Posselt, M.; Belko, V.

Ion implantation is considered to be a very suitable means for selective electrical doping of SiC. However, ion irradiation produces defects which can prevent the electrical activation of the implanted dopants. The understanding of ion-beam-induced defect generation and evolution in SiC is therefore extremely important. It consists of three stages: (i) atomic displacements during ballistic processes, (ii) formation of metastable defects after fast relaxation of the crystalline lattice, and (iii) long-term thermally induced defect rearrangement, migration, recombination and reduction. The present work deals with elementary processes occurring in the first two stages. Classical molecular dynamics (MD) simulations using a modified Tersoff potential are performed to investigate the conditions for defect formation by a single Si or C primary knockon atom (PKA) at T = 300 K. The threshold PKA energy for defect formation as well as the resulting defect configuration and its formation energy are determined. This study is limited to cases where the PKA starts parallel or antiparallel to the [0001] direction, which is identical to [111] in the cubic 3C-SiC. However, certain general results obtained are also valid for other examples. In contrast to previous publications, the more detailed investigations performed in this work reveal, that for a well-defined start direction neither for a Si nor for a C PKA a fixed threshold PKA energy for defect formation exists. Instead, a transition region having a width of 2.5 – 20 eV is found in which the probability for defect formation increases from 0 to 1. Moreover, for the same PKA energy and start direction, different defect configurations may be obtained. Both results are due to the fact that lattice vibrations influence the dynamics of atomic displacement and relaxation processes, in particular its initial conditions. Most of the defects found are different configurations of single pairs of vacancies (V) and self-interstitials (I). Since the lattice structure of 4H-SiC is more complicated than that of 3C-SiC, a greater variety of different defect types is found in this polytype. At 300 K the probability for overcoming the energetic barriers for V-I recombination or between different metastable defect states is very small. Therefore, within 20 – 50 ps after the PKA is started, present MD simulations do not yield a further transformation of the defect configurations formed by fast relaxation processes.

Keywords: Silicon carbide; atomic displacements; defect formation; 3C- and 4H-SiC

  • Lecture (Conference)
    European Conference on Silicon Carbide and Related Materials ECSCRM2002, Linköping, Sweden, September 1 - 5, 2002

Permalink: https://www.hzdr.de/publications/Publ-4909


Improvement of the repulsive part of the classical interatomic potential for SiC

Belko, V.; Posselt, M.; Chagarov, E.

Investigations of ion-beam-induced defect formation by classical molecular dynamics simulations are determined decisively by the quality of the interatomic potentials employed. Potentials of Tersoff and Brenner type are presently considered the best to describe structural and defect properties of SiC. However, their repulsive part does not agree well with state-of-the-art potentials used in atomic collision physics. The subject of the present work is therefore the improvement of the repulsive interaction in the Tersoff and Brenner type potentials, in order to enable a better description of ballistic and athermal processes occuring during ion bombardment of SiC. At small interatomic distances the pair part of these potentials is replaced by the well-tested ZBL potential. An exponential spline function is employed to connect the ZBL potential with the two-body part of the Tersoff or Brenner potential, in the region between some ten and zero eV. The resulting pair potential and its first derivative must be continuous and monotonic over the whole range of repulsive interaction. The improvements introduced are tested by comparing the energies for repulsive interactions in Si-Si, Si-C, and C-C dimers with corresponding results obtained by ab-initio DFT calculations using the DMOL code. The second modification introduced into the Tersoff and Brenner type potentials concerns their three-body part. Its contribution to the total potential is reduced for small interatomic separations at which the interaction between two atoms should be independent of their coordinations to the other neighbor atoms. This is realized in such a manner that the total potential and its derivative remain continuous and monotonic in the region where the reduction of the three-body part is performed.

Keywords: Silicon carbide; interatomic potential; molecular dynamics simulations

  • Lecture (Conference)
    6th International Conference on Computer Simulation of Radiation Effects in Solids, Dresden, Germany, June 23 - 27, 2002

Permalink: https://www.hzdr.de/publications/Publ-4908


Structure and energetics of elementary defects in 4H-SiC

Posselt, M.; Gao, F.; Belko, V.; Weber, W. J.

Silicon carbide is a promising material for applications in special electronic devices. Ion implantation is considered to be the best means to introduce dopants into SiC in a controlled manner. However, ion irradiation produces defects which can prevent the electrical activation of the implanted dopants. The understanding of ion-beam induced defect formation and evolution in SiC is therefore very important. A peculiarity of SiC is the occurence of polytypism. It can be illustrated by different stacking sequences of layers formed by SiC4 (or CSi4) tetrahedra. Wafers available for technological applications are either 4H or 6H-SiC single crystals, i.e. polytypes with hexagonal symmetry. The present work deals with structure and energetics of elementary defects in 4H-SiC. Based on the lattice structure and symmetry, a classification of potential vacancies, antisite defects and interstitials is given. In comparison with the cubic polytype 3C-SiC which was already studied in detail a considerably higher number of nonequivalent defect sites is found. The stability, formation energy, and structural details of the potential defects is investigated by classical MD simulations using a recently developed interatomic potential of Brenner type. Most of the potential defects are found to be stable. Many of them show a similar structure and formation energy. In these cases the first and the second nearest neighbor atoms of the defect site are identical.

Keywords: Silicon carbide; defects; 4H-SiC; molecular dynamics simulation

  • Lecture (Conference)
    6th International Conference on Computer Simulation of Radiation Effects in Solids, Dresden, Germany, June 23 - 27, 2002

Permalink: https://www.hzdr.de/publications/Publ-4907


Proceedings of the 7th International Conference on Plasma Surface Engineering

Berg, S.; Möller, W.; Rie, K. T.; (Editors)

no abstract delivered from author

  • Contribution to external collection
    Surface Coatings and Technology 142 - 144 (2001)

Permalink: https://www.hzdr.de/publications/Publ-4906


Einfluss von Wasserstoff auf die Zähigkeit von Reaktordruckbehälterstählen

Uhlemann, M.; Böhmert, J.; Müller, G.

Der Beitrag stellt Ergebnisse zum Wasserstoffdiffusionsverhalten, zur Wasserstofflöslichkeit und zum Einfluss des Wasserstoffs auf die mechanischen Eigenschaften von unterschiedlichen unbestrahlten Druckbehälterstählen vor. Sie beziehen sich auf solche Bedingungen, die denen im DWR nahe kommen. Die Wasserstoffdiffusion und -löslichkeit wurde durch Permeationsexperimente und Beladungsversuche ermittelt. Zur Charakterisierung des Einflusses von Wasserstoff auf Festigkeit und Zähigkeit wurden Zugversuche mit Dehnraten von 10-6...10-4/s im Temperaturbereich von 25-250°C nach elektrolytischer Wasserstoffvorbeladung und bei gleichzeitiger Wasserstoffbeladung mit kathodischen Stromdichten von -0.5 bis -5 mA/cm2 im simulierten Reaktordruckwasser durchgeführt. Mit Wasserstoff vorbeladene Charpy-Proben wurden im instrumentierten Kerbschlagbiegeversuch bei Prüftemperaturen bis 323 K geprüft.

  • Lecture (Conference)
    2. Workshop "Kompetenzverbund und Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 19
  • Contribution to proceedings
    2. Workshop "Kompetenzverbund und Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 19

Permalink: https://www.hzdr.de/publications/Publ-4905


Electrode erosion mechanism in the rod plasma injector type of generator as deduced from the structure of irradiated substrates

Piekoszewski, J.; Stanislawski, J.; Grötzschel, R.; Matz, W.; Jagieslki, J.; Szymczyk, W.

Titanium atoms were alloyed into a polycrystalline alindum substrate using various number of intense pulses consisting of plasma of the working gas and vapor and low energy ions of Ti erode from elecctrodes of the rod plasma injector type generator. Analyses of phase composition and of structural properties, as well as computer simulations of thermal evolution brought to the conclusion that increase of number of pulses leads to decrease of melting temperature of the top layer of the substrate. The erosion of the electrode material occurs during the last phase of the discharge via the vacuum arc mechanism.

Keywords: plasma alloying; plasma melting; vapor ionization

  • Nucleonika 47 (2002) 113-117

Permalink: https://www.hzdr.de/publications/Publ-4903


Uranium Speciation in biological systems; Uranium speciation in plants

Bernhard, G.

no abstract delivered from author

  • Lecture (Conference)
    Universität Tokyo, 28.8.2002

Permalink: https://www.hzdr.de/publications/Publ-4902


A contactless inductive velocity reconstruction method for metallic and semiconducting melts

Stefani, F.; Gerbeth, G.

The knowledge of the flow in metallic and semiconducting melts is important for a number of industrial problems. Optical methods cannot be applied due to the opaqueness of those fluids. Ultrasonic methods have problems with wetting and thermal stability when applied in hot metallic melts. Evidently, contactless methods for velocity determination would be highly desirable. In some applications even a coarse knowledge of the flow topology and the direction of the main eddies would be of high value. We study the possibility of velocity reconstruction in electrically conducting fluids from external measurements of different induced magnetic fields. It is shown that for a reliable velocity reconstruction the effect of the electric potential at the fluid boundary on the induced magnetic field should be taken into account.

  • Materials and Manufacturing Processes 19(2004), 651 - 663
  • Lecture (Conference)
    132nd Annual Meeting and Exhibition (TMS), San Diego, California, March 2-6,2003

Permalink: https://www.hzdr.de/publications/Publ-4901


Detection and characterization of colloids in acid rock drainage solution from an ore mine - Application of X-ray Absorption Spectroscopy (XAS)

Moll, H.; Zänker, H.; Richter, W.; Brendler, V.; Hennig, C.; Reich, T.; Kluge, A.; Hüttig, G.

In the aqueous environment of abandoned ore mines, iron sulfide ore crystals em-bedded in clay play a key role in the sulfide oxidation process and water mineraliza-tion process occurring there. Highly mineralized, red-coloured solutions are formed by weathering of ore particles which causes acid rock drainage (ARD) that can be extremely acidic. The access of ARD solutions to the bulk waters of a mine is a major factor influencing the composition of mining effluents. Very little is known about the speciation of heavy and toxic metals and about the mineralogy of the colloidal parti-cles of such ARD solutions. In particular the colloid-chemistry of such waters is little understood. However, colloids can significantly influence the properties of ARD solu-tions.
Acid rock drainage (ARD) solution from an abandoned ore mine (pH 2.7, sulfate con-centration 411 mMol/L, Fe concentration 93.5 mMol/L) was investigated by photon correlation spectroscopy, centrifugation, filtration, ultrafiltration, scanning electron microscopy, ICP-MS, AAS, ion chromatography, TOC analysis, and X-ray absorption (XAS/EXAFS) spectroscopy. A colloid concentration of m 1 g/L was found. The pre-vailing particle size was < 5 nm. Fe, As and Pb were the metal constituents of the colloidal particles. According to EXAFS spectroscopy, the most probable mineralogi-cal composition of these particles is a mixture of hydronium jarosite (HFe3(SO4)2(OH)6) and schwertmannite (ideally Fe8O8(OH)6SO4). A small amount of a relatively coarse precipitate was formed in the ARD solution during the months af-ter sampling. The colloid particles are obviously an intermediate in the precipitate formation process. Our results suggest that the arsenate is bound to the colloid parti-cles by the formation of a bidentate binuclear inner-sphere surface complex. How-ever, the transformation of the colloidal material to the more aggregated long-term precipitate results in the incorporation of the arsenate into the interior of the iron hy-droxy sulfate crystal structures. Pb seems to occur as anglesite (PbSO4).

Keywords: colloids; acid rock drainage; XAS

  • Lecture (Conference)
    DBG Conference: Mineral Surface and Colloid Chemistry in Soil and Aquatic Environments, Karlsruhe, Germany, October 8-11, 2002, extended abstract in: Mitteilungen der Deutschen Bodenkundlichen Gesellschaft 99, 201-202 (2002)

Permalink: https://www.hzdr.de/publications/Publ-4900


A Novel Silicon Detector for Energetic Electrons with Improved Linearity Characteristics

von Borany, J.; Beyer, D.; Beyer, V.; Schmidt, B.; Schnabel, B.

The paper describes a novel silicon detector with improved linearity characteristics for energetic electrons up to 50 keV. The modified pn-junction detector structure contains a buried implanted n(+)-layer (N~1-5E15 cm-3) in the n-type Si substrate which is attributed by a near-surface high-field region in the depletion zone. To enable a high-field region of several micrometers depth high-energy ion implantation with 31P ions of 10-30 MeV has been used. The corresponding electric field distribution of the novel detector is characterized by a constant electric field strength of 10-50 kV/cm from the surface down to the depth of the buried implanted layer. Detectors with considerable improved linearity up to electron current densities of 20 A/cm2 have been fabricated, which have been tested at the e-beam writer SB 350 of Leica Microsystems Lithography for electrons of 50 keV.

Keywords: e-beam lithography; electron detectors; Si-technology; high energy ion implantation

  • Poster
    Intern. Conference on Micro- and Nanoengineering 2002, Sept. 16-19, 2002, Lugano, Switzerland
  • Microelectronic Engineering 67-68 (2003) 140-148
  • Contribution to proceedings
    Intern. Conference on Micro- and Nanoengineering 2002, Sept. 16-19, 2002, Lugano, Switzerland

Permalink: https://www.hzdr.de/publications/Publ-4899


Structure and Properties of Titanium Oxide Layers prepared by Metal Plasma Immersion Ion Implantation and Deposition

Tsyganov, I.; Maitz, M. F.; Wieser, E.; Prokert, F.; Richter, E.; Rogozin, A.

Coating with titanium oxides is a promising method to improve the blood compatibility of materials to be used for medical implants. Ti oxide layers were deposited on oxidised Si from a plasma produced by cathodic arc evaporation under addition of oxygen to the ambient near the substrate. In dependence on the deposition parameters amorphous and nanocrystalline structures, crystalline layers composed of anatase and brookite as well as layers dominated by the rutile phase have been obtained. The activation of the plasmatic clotting cascade was only minimally influenced by the crystal size and the crystallite structure of the titanium oxide films. As a trend, amorphous, nanocrystalline and fine-grained layers show higher clotting times than well crystallized rutile films. Ion implantation of Cr or P strongly the clotting time.
Contrasting tendencies in the dependence of clotting time and platelet adhesion on the microstructure of the Ti oxide have been stated, however for P+-doped rutile both, enhanced clotting time and improved platelet adhesion, are observed. Platelet adherence and activation always show similar trends.

Keywords: Titanium oxides; Metal Plasma Immersion Ion Implantation and Deposition; Ion implantation; Phase formation; Blood compatibility

  • Surface & Coatings Technology 174-175 C (2003) 591-596
  • Poster
    PSE 2002. 8th International Conference on Plasma Surface Engineering, September 9 - 13, 2002, Garmisch-Partenkirchen, Germany

Permalink: https://www.hzdr.de/publications/Publ-4898


Sektionsberichte - Jahrestagung Kerntechnik 2002

Knebel, J.; Prasser, H.-M.; Hoffmann, P.-B.; Hüttmann, A.; Hansen, W.; Herrmann, D.; Böhmert, J.

Die folgenden 5 Beiträge dokumentieren die Ergebnisse der Sektionen "Thermo- und Fluiddynamik" (Sektion 2), "Brennelemente und Kernbauteile" (Sektion 5), "Forschungsreaktoren" (Sektion 9), "Fortschrittliche Reaktorkonzepte, Energiesysteme - Energiewirtschaft" (Sektion 10) und "Werkstoffe, Herstellung und Betriebsverhalten" (Sektion 12).

  • atomwirtschaft, Sektionsbericht, 47. Jahrgang, Heft 7, S. 475, Juli 2002

Permalink: https://www.hzdr.de/publications/Publ-4897


Micromilling - High Potential Technology for Micromechanical Parts

Spath, D.; Tritschler, H.; Bischoff, L.; Schulz, W.

Many microfabrication processes lack the ability to structure wear resistant materials and to generate three dimensional geometries for small and medium lot sizes. Micromilling is a promising approach to overcome these limits of common microfabrication processes. In this paper it will be dem-onstrated that micromilling of steel with tungsten carbide tools shows good results if prerequisites regarding the tool and workpiece material structure and properties are fulfilled. For further miniaturiza-tion of structure details down to dimensions of 30-50 µm the diameters of the tools have to be reduced. This can no longer be done by grinding. In consequence new forceless processes like laser or ion beam machining are investigated. First results of structured end mills as well as milled structures will be presented.

Keywords: Micromilling; Focused Ion Beam; tungsten carbide tools

  • Lecture (Conference)
    6th International Conference on Advanced Manufacturing Systems and Technology, AMST 02 June 20 - 21, 2002, Udine, Italy

Permalink: https://www.hzdr.de/publications/Publ-4896


Improvement of Micro End Milling Tools through Variation of Tool Manufacturing Method and Geometry

Schmidt, J.; Tritschler, H.; Bischoff, L.

Micro-cutting offers good potentialities for manufacturing small and medium lot sizes of micro-parts with unrestricted geometry at an economically reasonable expense, either by direct machining or as a means to make moulds for micro injection molding. It yields large removal rates, good compliance with allowances, good surface quality and a wide choice of workpiece materials. Particularly when highly wear resistant materials are to be sculptured, as in mould making for powder injection molding, micro cutting of tool steel is quite an eligible option. With the presentultra-precision drive train, guideways and spindle technology being rather advanced, restrictions to the cutting process efficiency results most of all from the cutting tool itself. Overcoming these shortcomings requires variation of tool material, tool manufacturing method and tool geometry.

Keywords: Micro Milling; Steel; Focused Ion Beam

  • Lecture (Conference)
    International. Conference on Micro and Nano Systems, ICMNS2002 August 11 - 14, 2002, Kumning, China

Permalink: https://www.hzdr.de/publications/Publ-4895


Umgebungseinflüsse auf ionenimplantiertes SiO2

Schmidt, B.

Nach einer Einführung zur Ionenstrahlsynthese (IBS) von Nanoclustern am Institut für Ionenstrahlphysik und Materialforschung des FZ Rossendorf werden kurz die Grundlagen der Phasenseparation in übersättigten Festkörperlösungen geschildert.
Wird die Ionenstrahlsynthese in sehr oberflächennahen Gebieten (Tiefen < 50 nm)
durchgeführt, spielen Umgebungseinflüsse auf die Pasenseparation, wie Absorption von Luftfeuchte in implantierten Isolatorschichten und Restfeuchte in der Inertgas- Temperatmosphäre (im ppm-Bereich) eine eine nicht zu vernachlässigende Rolle.
Mittels Nuklear Reaction Analysis (NRA) wurden Tiefenprofile von Wasserstoff an "as-implanted" und Luft gelagerten SiO2-Schichten gemessen, die die defektbeschleunigte Eindiffusion von Wasser bei Raumtemperatur representieren.
Somit ist bei der Ionenstrahlsynthese, besonders bei der thermische Behandlung nach der Ionenimplantation, mit chemischen Reaktionen der implantierten Spezies (Oxidbildung und Hydridbildung) zu rechnen, die signifikant die Prezipitation und das Ostwald-Reifen von Nanoclustern beinflussen.
Die Untersuchungen sind von besonderem Interesse für die IBS von Nanocluster in ultrasünnen Gateoxiden, die in neuartigen nichtflüchtigen Speicherschaltkreisen eingesetzt werden sollen.

  • Lecture (others)
    Ionentreffen, Universität Augsburg, Augsburg, 01.-02. Oktober 2001

Permalink: https://www.hzdr.de/publications/Publ-4894


Self-organized NC-layers by conventional ion implantation: status report WP2 - fundamental related experiments

Schmidt, B.; Heinig, K.-H.; Müller, T.; Stegemann, K.-H.

The report describes the experimental proof of the formation of SiO2/Si-inteface near nanocrystal delta-layers in 500 nm thick silicon oxide due to interface mixing (defined displacement of matrix atoms) using Si irradiation through the SiO2 and Ge-implantation into the SiO2 without Ge deposition near the interface. Ge has been implanted for decoration of Si precipitates near the interface by Ge during thermal treatment of the ion irradiated SiO2.
RBS und XTEM investigations show that inteface near Ge-nanocrystal delta-layers are formed only in the case of ion beam mixing of the SiO2/Si-interface. The results agree with theoretical predictions done by Monte-Carlo computer simulations.
Furthermore, results of hydrogen depth profiling on Si-, Ge- and Sn-implanted SiO2-layers in the as implanted state using Nuclear Reaction Analysis (NRA) are reported. From H-depth profiling we conclude that in as implanted SiO2-layers a radiation defect enhanced water inward diffusion from moisture of the ambient atmosphere takes place. The absorbed H2O (H, OH) interfere the precipitation and Ostwald-Ripening of nanocrystals during thermal treatment, following the ion implantation process.

  • Lecture (others)
    NEON-Project Meeting, Aarhus, Denmark, August 20-21, 2001

Permalink: https://www.hzdr.de/publications/Publ-4893


Elasic recoil detection analysis of ion-exchanged soda-lime glass substrates for a-SI:H devices

Panchev, B.; Danesh, P.; Kreissig, U.; Schmidt, B.

Elastic recoil detection analysis has been used to study the interaction between the soda-lime glass substrates and the a-Si:H films. It has been established that H and Na ions penetrate from the near-surface region of the substrate into the deposited film. The results have shown that the influence of the substrate on the properties of a-Si:H can be strongly reduced by glass surface modification using the processes of ion exchange and ion extraction.

Keywords: elastic recoil detection analysis; soda-lime glass; ion exchange; hydrogenated amorphous silicon

  • Poster
    2th International Summer School on Vacuum, Electron and Ion Technologies (VEIT 2001), Varna, Bulgaria, September 17-21, 2002

Permalink: https://www.hzdr.de/publications/Publ-4892


Development of a-Si:H films during plasma-enhanced CVD from hydrogen-diluted silane

Panchev, B.; Danesh, P.; Grambole, D.; Schmidt, B.

The application of hydrogenated amorphous silicon (a-Si:H) in the photovoltaic devices provided a basis for an extensive process development. Plasma enhanced chemical vapor deposition (PECVD) from pure silane is the most often used method for preparation of a-Si:H films. Recently, the benefits of strong dilution of silane with hydrogen have been demonstrated for the decrease of the light-induced degradation of the a-Si:H solar cells. The growth of a-Si:H films in a hydrogen-rich plasma is accompanied by two processes - in-situ hydrogenation and hydrogen etching. The hydrogenation leads to a relatively high hydrogen concentration in the films. The hydrogen etching promotes the morphological transition of amorphous network to the microcrystalline during the film growth. It has been suggested that regions with a higher structural order develop gradually as a-Si:H grows and these serve as sites for microcrystal growth. Such a gradual change has also been observed for the defect density and a clear relationship between the electronic properties and the medium-range order of the amorphous network has been established.
The aim of the present work is to find more evidence about the structural and compositional development of a-Si:H during the film growth. We have studied the thickness dependences of the interconnected void network and the depth profiles of the hydrogen concentration. The intrinsic mechanical stress in the films has also been measured, since it is related with both the structural order and the hydrogen content and is a parameter especially interesting for the large-area device processing.
a-Si:H films were deposited by PECVD from 10% silane in hydrogen - a dilution, at which a completely amorphous material can still be prepared. The substrate temperature was varied in the range of 150-270 °C. The films were deposited with a thickness in the range of 100-600 nm, the most important one for the device preparation.
The hydrogen and its depth distribution have been studied using nuclear reaction analysis. The dependence of the interconnected void network on the film thickness has been established by means of field-assisted ion exchange. This method is based on the silver-sodium ion exchange in the glass substrate and is sensitive to the interconnected void strings crossing the entire film thickness. The depth profiles of stress have been obtained by measuring the radii of curvature of a series of samples with different film thickness. The effect of the substrate temperature on the hydrogen concentration, void network and on the intrinsic stress has been studied, as well.
The obtained results suggest that there is no apparent correlation between the hydrogen concentration and the structural properties of the material. The temperature dependences are rather unusual and are discussed in view of the specificity of a-Si:H growth process in the hydrogen-rich plasma.

  • Poster
    5th European Conference on Photovoltaic Devices - High Efficiency Solar Cells, Tomar, Portugal, September 08-13, 2001

Permalink: https://www.hzdr.de/publications/Publ-4891


Einfluss von Ionenstrahlparametern auf die Phasenidentifizierung an Ge Nanopartikeln mittels XPS Tiefenprofilen

Oswald, S.; Schmidt, B.; Heinig, K.-H.

Die Ionenstrahlsynthese von Ge Nanoclustern in SiO2 wird mit Blick auf neue elektronische und optische Anwendungen (Ladungsspeicherung, Lumineszenz) untersucht. In vorangegangenen Arbeiten wurden Einfluss von Parametern der Ionenimplantation und der thermischen Nachbehandlung auf die Umverteilung von Ge und dessen Phasenseparation vom Siliziumdioxid in Form von Nanoclustern ausführlich untersucht [1].
Weitergehende Untersuchungen beschäftigten sich mit chemischen Veränderungen von Ge sowohl durch Einflüsse der Atmosphäre bei der Wärmebehandlung als auch bei der Wechselwirkung mit der SiO2-Matrix durch das Ionenstrahlmischen. Dabei konnte durch Tiefenprofiluntersuchungen mittels Photoelelektronenspektroskopie (XPS) gezeigt werden, dass die gebildeten Cluster aus elementarem Ge bestehen, diese jedoch bei Anwesenheit von Sauerstoff in der Temperatmosphäre mit einer scharfen Reaktionsfront in gelöstes und ausgeschiedenes Germaniumoxid übergehen [2].
Mit Hilfe der Faktoranalyse können die Veränderungen der diskutierten Spezies im Verlauf der Temperbehandlung durch eine Analyse der XPS-Peaklagen voneinander unterschieden werden. Hierbei wird noch eine dritte spektrale Komponente gefunden, die auf die Wechselwirkung kleiner Ge-Cluster mit der Oxidumgebung zurückgeführt werden kann. Allerdings legt der hohe relative Anteil dieser Komponente nahe, dass sie durch Ionmischen beim Sputtern (Ionenerrosion zur XPS-Tiefenprofilmessung) induziert sein könnte.
Dieser Vermutung wird durch Veränderung der Sputterbedingungen (standardmäßig 3,5 keV. Ar+) nachgegangen. Durch Verringerung der Eindringtiefe der Ionen (hohe Masse = Xe+, geringe Energie = 1 keV) kann tatsächlich eine Veränderung der Komponentenverteilung nachgewiesen werden. Es wird geschlussfolgert, dass durch eine ungeeignete Wahl der Sputterparameter der Anteil elementaren Ge in Form von Nanoclustern in der Probe unterschätzt wird.

[1] K.H. Heinig, B. Schmidt, A. Markwitz, R. Grötzschel, M. Strobel, S. Oswald,
Nucl. Instr. Meth. B148 (1999) 969.
[2] S. Oswald, B. Schmidt, K.H. Heinig, Surf. Interface Anal. 29 (2000) 249.

Keywords: XPS-tiefenprofilierung; Nanocluster; Ionenstrahlmischen

  • Lecture (Conference)
    Tagung Festkörperanalytik, Chemnitz, 25.-28. Juni 2001

Permalink: https://www.hzdr.de/publications/Publ-4890


Effect of ion implantation on the structural properties of a-Si:H films

Danesh, P.; Pantchev, B.; Savatinova, I.; Liarokapis, E.; Schmidt, B.

A comparative study of the effect of H+ and Si+ ion implantation on the hydrogenated amorphous silicon (a-Si:H) has been carried out in order to explore the interaction of hydrogen with the structural defects and to separate their contribution to the intrinsic compressive stress in the films. a-Si:H films were prepared by plasma-enhanced chemical vapor deposition. Raman scattering spectroscopy and infrared spectroscopy have been used to study the variations of the short range order of the silicon network and of the hydrogen bonding configuration, respectively. It has been suggested that the essential difference in the structural damage introduced by H+ and Si+ ion implantation could be related with the insertion of molecular hydrogen.

Keywords: hydrogenated amorphous silicon; ion implantation; Raman spectroscopy; infrared spectroscopy; mechanical stress

  • Poster
    12th International Summer School on Vacuum, Electron and Ion Technologies (VEIT 2001), Varna, Bulgaria, September 17-21, 2002
  • Vacuum 69 (2003) 83

Permalink: https://www.hzdr.de/publications/Publ-4889


Cathodoluminescence depth profiling by electron beam energy variation

Barfels, T.; Schmidt, B.; von Czarnowski, A.; Fitting, H.-J.

For investigation of luminescent centre profiles cathodoluminescence (CL) measurements are used by means of variation of the primary electron (PE) energy from Eo = 0.5 to 3 keV. Applying a constant incident power regime Eo x jo = const, the depth profiles of luminescent centres are deduced im comparable magnitude from the electron energy transfer profiles dE/dx.
Thermally grown SiO2 layers of thickness d = 500 nm have been implanted by Ge+-ions of energy 350 keV and doses 5x1015 to 5x1016 ions/cm2. Thus Ge profiles with a concentration maximum at the depth of about dm = 240 nm are expected. Afterwards the layers have been investigated partially "as implanted" and after different thermal annealing up to Ta = 1100 °C for one hour in dry nitrogen.
After thermal annealing, not only the typical violet luminescence (at 400 nm) of the Ge centers is strongly increased but also the luminescent centre profiles are sharpened and shifted in a characteristic manner from about 250 nm to 170 nm depth towards the surface.
In Ge-doped SiO2 layers the red (R) luminescence due to non-bridging oxygen hole centres (NBOHC) of the silica matrix is preserved, but the huge violet (V) band at 400 nm is attributed to twofold co-ordinated =Ge: centres as observed also in rutile-like GeO2 crystals. These centres in Ge+ implanted silica layers are especially developed during thermal annealing accompanied by Ge nanocluster formation, probably embedded in a GeO2 (or GeOx) surrounding within the silica matrix.

Keywords: Cathodoluminescence; depth profiling; ion implantation

  • Lecture (Conference)
    European Microbeam Analysis Society Conference (EMAS-2001), Tampere, Finland, May 06-10, 2001, Abstract Nr. 305

Permalink: https://www.hzdr.de/publications/Publ-4888


The effect of structural disorder on mechanical stress in a-Si:H films

Pantchev, B.; Danesh, P.; Savatinova, I.; Liarokapis, E.; Schmidt, B.; Grambole, D.

The effect of ion implantation on mechanical stress in a-Si:H films was studied with the aim of separating the contributions that the hydrogen content and structural defects make to the intrinsic compressive stress. The a-Si:H films were prepared by plasma-enhanced chemical vapour deposition. Silicon ions with an energy of 160 keV were implanted and the implantation-induced structural damage was studied by means of Raman backscattering spectroscopy. The stress in the films was compressive and its value correlated with the short and intermediate range orders. The results have shown that the value of compressive stress in the material could be lowered by changing the structural order of the silicon network without changing the hydrogen content.

Keywords: a-Si:H films; ion implantation; film stress

  • Journal of Physics D, Applied Physics, 34 (2001) 2589-2592

Permalink: https://www.hzdr.de/publications/Publ-4887


Cathodoluminescence of crystalline and amorphous SiO2 and GeO2

Fitting, H.-J.; Barfels, T.; Trukhin, A. N.; Schmidt, B.

Cathodoluminescence (CL) and its temperature-dose behaviour are presented for di•erent crystalline and amorphous modifications of SiO2 and GeO2 as well as for Ge-doped SiO2 layers. The crystalline samples include four-fold coordinated Si and Ge in hexagonal quartz and quartz-like crystals, respectively, as well six-fold coordinated atoms in tetragonal rutile-like crystals. The detected luminescence bands, in general, are attributed to three optical active luminescence centres: the two-fold coordinated silicon ( =Si:) and germanium ( =Ge:) centre, respectively, the nonbridging oxygen hole centre (NBOHC) and the self trapped exciton (STE). The first ones, the oxygen deficient centres (ODC), are especially developed in both, in the tetragonal crystal rutile-like modifications as well as in glassy states. The huge violet luminescence in Ge-implanted SiO2-layers is attributed to the two-fold coordinated Ge in the silica matrix.

Keywords: Cathodoluminescence; luminescent centers; SiO2; GeO2

  • Journal of Non-Crystalline Solids 279 (2001) 51-59

Permalink: https://www.hzdr.de/publications/Publ-4886


Cathodoluminescence depth profiling of Ge-implanted SiO2-layers

Fitiing, H.-J.; Barfels, T.; Schmidt, B.; von Czarnowski, A.

Thermally grown SiO2 layers of thickness d = 500 nm have been implanted by Ge+-ions of energy 350 keV and doses 5x1015 to 5x1016 ions/cm2. Thus Ge profiles with a concentration maximum at the depth of about dm = 240 nm are expected. Afterwards the layers have been investigated partially as implanted and after different thermal annealing up to Ta = 1100 °C for one hour in dry nitrogen.
For investigation of the luminescent center profile cathodoluminescence measurements are used under variation of the primary electron energy Eo = 0.5...30 keV. Applying a constant incident power regime Eo x jo = const the depth profiles of luminescent centers are deduced in comparable magnitude from the electron energy transfer profiles dE/dx.
After thermal annealing, not only the typical violet luminescence (at 400 nm) of the Ge centers is strongly increased but also the luminescent center profile is redistributed in a characteristic manner towards the surface. This process should be described by Ge diffusion processes, precipitation and finally Ge nanocluster formation.

Keywords: Cathodoluminescence; depth profiling; luminescent centers; thermal annealing

  • Solid State Phenomena 78-79 (2001) 119-126

Permalink: https://www.hzdr.de/publications/Publ-4885


Forward K+-Production in Subthreshold pA Collisions at 1.0 GeV

Koptev, V.

K+-meson production in pA ( A = C,Cu,Au) collisions has been studied using the ANKE spectrometer at an internal target position of the COSY-Jülich accelerator. The complete momentum spectrum of kaons emitted at forward angles, ϑ≤12°, has been measured for a beam energy of Tp = 1.0 GeV, far below the free NN threshold of 1.58 GeV. The spectrum does not follow a thermal distribution at low kaon momenta and the larger momenta reflect a high degree of collectivity in the target nucleus.

  • Phys. Rev. Lett. 87 (2001) 022301

Permalink: https://www.hzdr.de/publications/Publ-4884


Flash-lamp processing with millisecond pulses for ultra-shallow boron implants in silicon

Gebel, T.; Voelskow, M.; Eichhorn, F.; Skorupa, W.; Mannino, G.; Privitera, V.; Priolo, F.; Napolitani, E.; Carnera, A.

Higher integration in semiconductor technology causes the need for ultra-shallow junctions. Novel techniques are necessary to achieve abrupt and sharp profiles of dopants at high activation levels without significant diffusion of dopants. Because common RTP techniques are limited in temperature ramping speed, alternative methods for ultra-short time annealing are of great interest. In this paper we report on recent results from flash lamp annealing (FLA). Si (100) wafers were implanted with 500eV B+ ions to a fluence of 1015 cm-2. FLA was carried out at temperatures in the range 1100-1200°C with a soak time of 2-20ms using a bank of xenon flash-lamps. With this technique the final temperature is reached within one millisecond. Preheating of the samples from the rear side was performed at 250, 500 and 750°C by a bank of halogen lamps. For comparison conventional RTP was performed at 1100°C and 1200°C for the shortest reliable time of 1s and longer times up to 80 s. The boron diffusion and the dopant activation were investigated by secondary ion mass spectroscopy (SIMS) and spreading resistance profiling (SRP). The activated doses after FLA were as high as 20% of the implanted dose confined in a layer of only 60nm. The mechanical deformation induced to the samples due to the heat shock was investigated with X-ray reflection. The intensity of the specularly reflected beam (CuK radiation, scattering angle = 0.8°) is detected as a function of the incidence angle. The profile width and the distances between possible subsidiary peaks give integral values of the surface waveness.

Keywords: flash lamp annealing; ultra shallow junctions; RTP

  • Lecture (Conference)
    Ion Implantation Technology, IIT 2002 September 22-27, 2002, Taos, New Mexico, USA

Permalink: https://www.hzdr.de/publications/Publ-4874


Electrical characterization of SiO2 layers contaning Ge and Si nanoclusters

Gebel, T.

no abstract delivered from author

Keywords: nanocluster

  • Lecture (others)
    Naval Research Laboratory, Washington DC, USA, Nov. 17, 1999

Permalink: https://www.hzdr.de/publications/Publ-4873


Non-volatile memory effects of ion beam synthesized Ge and Si nanoclusters

Gebel, T.

no abstract delivered from author

Keywords: nanocluster; non-volatile memories

  • Lecture (others)
    California Institute of Technology, Pasadena (CA), USA, Oct. 18, 1999

Permalink: https://www.hzdr.de/publications/Publ-4872


Electrical properties of Si and Ge nanoclusters in SiO2

Gebel, T.

no abstract delivered from author

Keywords: nanocluster

  • Lecture (Conference)
    PhD - student symposium, Charles University, Prague, Czech Republic, Jun 22-25, 1999

Permalink: https://www.hzdr.de/publications/Publ-4871


Nanostrukturen in der Optoelektronik: Eigenschaften und Anwendungen

Gebel, T.; Rebohle, L.; Skorupa, W.

no abstract delivered from author

Keywords: Nanocluster; Si basierte Lichtemission; Biochips; Lab-on-a-Chip

  • Lecture (others)
    Vortrag an der Universität Tübingen, Inst. für Phys. Chemie, Tübingen (19.12.2000)

Permalink: https://www.hzdr.de/publications/Publ-4870


Microstructure and electrical properties of Ge and Si - implanted SiO2 layers

Gebel, T.

no abstract delivered from author

Keywords: Nanocluster

  • Lecture (others)
    Vortrag an der University of Catania, Italien (11. Oktober 2000)

Permalink: https://www.hzdr.de/publications/Publ-4869


Memory applications of SiO2 layers containing Ge and Si nanoclusters

Gebel, T.

no abstract delivered from author

  • Lecture (others)
    Vortrag am Naval Research Laboratory, Washington DC (USA), 23. Mai 2000

Permalink: https://www.hzdr.de/publications/Publ-4868


Blaues Licht aus Silizium - integrierbare Lösungen für die optische Kommunikation

Gebel, T.

no abstract delivered from author

Keywords: Nanocluster

  • Lecture (Conference)
    VDI-Forum, Hannover-Messe 2000, Hannover, 22. März 2000

Permalink: https://www.hzdr.de/publications/Publ-4867


Nanostrukturen für die Mikroelektronik

Gebel, T.

no abstract delivered from author

Keywords: Nanocluster; Speicher; Lichtemitter

  • Lecture (others)
    Messe "Karrierestart 2000", Dresden (22. Jan. 2000)

Permalink: https://www.hzdr.de/publications/Publ-4866


Ion beam synthesis based formation of Si-and Ge-rich thermally grown silicon dioxide layers for memory applications

Gebel, T.; Thees, H.-J.; von Borany, J.; Wittmaack, M.; Stegemann, K.-H.; Skorupa, W.

Ion beam synthesis (IBS) is a versatile instrument to circumvent obstacles dictated by the thermal equilibrium. The basic steps to perform ion beam synthesis include ion beam irradiation with stoichiometric doses into a target kept at a certain temperature followed by another dedicated annealing step. On the other hand the actual EEPROM´s basing on floating gate transistors are driven to their limits of performance. An advanced very promising approach to circumvent these problems bases on the concept of Si-and Ge-nanoclusters embedded into silicon dioxide layers using IBS.
We have performed room temperature implantation of high fluences (3..9x1015 cm-2) of silicon and germanium into silicon dioxide layers with a thickness in the range 20-30 nm. This was followed by an annealing step at 950°C for 30 sec using Rapid Thermal Annealing (RTP). The microstructure and the electrical properties were investigated using a variety of methods. It will be shown that this type of processing leads to the formation of nanoclusters and the formation of trapping centres within the silicon dioxide layers. First device tests look promising.

Keywords: nanocluster; memory

  • Poster
    MRS Fall Meeting, Boston (USA), 27.11.-1.12.2000

Permalink: https://www.hzdr.de/publications/Publ-4865


Microstructural and electrical properties of SiO2 layers containing Ge and Si nanoclusters

Gebel, T.; von Borany, J.; Klimenkov, M.; Skorupa, W.; Thees, H.-J.; Wittmaack, M.; Stegemann, K.-H.

In the last years nanoclusters attracted much attention because of their outstanding properties for the use in opto- and microelectronics. As an example nanocrystal memories are a promising approach towards new scalable non-volatile memory structures [1, 2]. Because of their low programming voltages and the direct tunneling process for charging they overcome limitations of currently used flash EEPROM technologies. The simple structure and the possible process integration with only a few more additional process steps make this type of memory a well-suited candidate for applications in embedded systems. An effective method of producing nanoclusters in SiO2 is ion beam synthesis using Ge - or Si - implantation and subsequent annealing. This method allows the precise control over the distribution as well as the number of implanted ions and complies with common silicon technology.
This work is focused on the comparison of the properties of Si and Ge nanoclusters prepared by ion beam synthesis. Thin SiO2 films (20 and 30 nm,) were thermally grown on n-type (100) Si) and implanted with Ge+ (12 and 20 keV) and Si+ (6 and 12 keV) ions. Subsequently rapid thermal annealing was performed at 950°C for 30 s under a nitrogen atmosphere. Following that a poly-Si layer (300 nm) was deposited by LPCVD and subsequently doped with P+ ions. The poly-Si layer was etched to form the gate electrode of a MOS capacitor and several additional thermal treatment steps were carried out.

Microstructural investigations (XTEM, RBS and XPS) of Ge clusters showed dependent on the experimental conditions either only one volume cluster band or a two band structure consisting of one cluster band near the interface SiO2/Si and one volume band. All clusters were found in the amorphous state. As an example for 30 nm SiO2 layers implanted with 20 keV Ge+ ions to a dose of 5x1015 cm-2 show a sharp cluster band with a cluster density of 3.5x1011 cm-2 ( 50 %) in a distance of about 3 nm to the interface Si / SiO2. This structure is therefore of large interest for memory applications.
The process leading to the formation of this interface cluster band is based on the dynamics of the ion implantation. A model based on TRIM calculations, rate equation studies and 3D - kinetic Monte Carlo simulations explains this self organization process [3]. Small Si agglomerates are formed during implantation due to collisional mixing and near interface oxygen diffusion. During the annealing process they act as nucleation centers and diffusing Ge from the implanted Ge maximum is trapped at these centers and forms clusters. As a result a sharp - like cluster band is formed.

Charge storage effects of the MOS capacitors have been studied through I-V and high frequency C-V measurements after FN stress. For Ge implanted layers, samples containing bulk and interface clusters show larger programming window sizes but worse retention characteristics than samples with bulk clusters only. This means, that direct tunneling leads to a faster discharging of the clusters near the interface.
Memory effects of Ge and Si nanocrystal based memory structures were reported to be similiar in Ref. [2]. In our work however the behavior of Ge and Si nanocluster based MOS-capacitors was found to be different. The programming window using 6 V / 100 ms pulses for Ge based structures is larger than that for Si (2.0 V vs. 0.2 V).
In Fig. 1 investigations of the retention characteristics are shown. The samples have been stressed with pulses of 15 V / 10 ms. After the stress the samples were stored at a temperature of 250°C to get information about long term stability. The Si clusters show a large programming window even after 90 h storage at the elevated temparature. This implies, that Si clusters seem to be more promising for non-volatile memory applications. However, Ge clusters could be interesting for memory applications which do not require long retention times.

Keywords: nanocluster; memory

  • Lecture (Conference)
    197th Meeting of the Electrochemical Society, Toronto (Kanada), 14.-18.05.2000

Permalink: https://www.hzdr.de/publications/Publ-4864


Electrical characterization of thin SiO2 layers containing Ge / Si nanoclusters

Gebel, T.; von Borany, J.; Rebohle, L.; Skorupa, W.; Thees, H.-J.; Wittmaack, M.; Stegemann, K.-H.

no abstract delivered from author

  • Poster
    NATO Advanced Study Institute, "Defects in SiO2 and related Dielectrics: Science and Technology", Erice (Sizilien, Italien), 8.-20.04.2000

Permalink: https://www.hzdr.de/publications/Publ-4863


Institute of Nuclear and Hadron Physics & Radiation Source ELBE; Annual Report 2001

Dönau, F.; Enghardt, W.; Grosse, E.; Kämpfer, B.; Lehnert, U.; Michel, P.; Schlett, M.; (Editors)

kein Abstract

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-341 April 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4862


Investigation of MOS structures with embedded Si-nanocrystals obtained by r.f.-sputtering

Schmidt, J. U.; Schmidt, B.

no abstract delivered from author

  • Poster
    12th Int. School VEIT´01, Varna, Bulgaria, Sept.17-21, 2001

Permalink: https://www.hzdr.de/publications/Publ-4861


Die unsichtbarte Kraft - Ionen veredeln Materialoberflächen

Richter, E.

no abstract delivered from author

Keywords: Ionenimplantation; Plasma-Immersions-Ionenimplantation; Edelstahl; Aluminium

  • Lecture (others)
    Werkstoff-Forum, Hannover Messe 2001, 23.-27. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4860


Industrielles Anwendungspotential der Plasma-Immersions-Ionenimplantation

Richter, E.

no abstract delivered from author

Keywords: Plasma-Immersions-Implantation; Industrielle Anwendungen

  • Lecture (others)
    Innovationsforum "Neuartige Applikationsfelder für plasmagestützte Oberflächentechnik, Zittau, 5.-6. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4859


Integrated high voltage modulator for plasma immersion implantation

Rogozin, A.; Günzel, R.

no abstract delivered from author

  • Lecture (Conference)
    6.th Int. Workshop on Plasma-based Ion Implantation, Grenoble, June 25-28, 2001

Permalink: https://www.hzdr.de/publications/Publ-4858


Growth kinetic and properties of nitride layers produced by loe energy ion nitriding and plasma immersion ion implantation of Al and Al alloys

Richter, E.; Telbizova, T.; Möller, W.

no abstract delivered from author

  • Lecture (Conference)
    SMMIB, Marburg, 9.-14. September 2001

Permalink: https://www.hzdr.de/publications/Publ-4857


Ion beam synthesis of magnesium diboride

Richter, E.; Prokert, F.; Reuther, H.; Helm, M.

no abstract delivered from author

  • Lecture (Conference)
    SMMIB, Marburg, 9-14. September 2001

Permalink: https://www.hzdr.de/publications/Publ-4856


Modification of titanium surface by its alloying with silicon using intensive pulsed plasma beams

Richter, E.; Piekoszeski, J.; Wieser, E.; Prokert, F.; Stanislawski, J.; Walis, L.; Reuther, H.

Surface alloying of Ti with Si has been performed with the use of high intensity pulsed plasma beams. In this technique, short, intense (1 µs, 3-4 J/cm²) plasma pulses serve as a source of heat to melt the near surface layer (up to 2 µm) of the Ti substrate togehter with a Si film (30 and 70 µg/cm²) pre-deposited on it. In the molten state, lasting a couple of microseconds, rapid diffusion of Si into Ti occurs leading to formation of new phases during rapid solidification of the molten surface layer. In the present work, an attempt has been undertaken to improve the mechanical properties of titanium via precipitation of hard stable phases in the Ti-Si system, is formed by the transient heat treatment. During subsequent annealing for 1 h at 800°C a fraction (14-43%) of Ti5Si3 transforms into TiSi. The presence of silicide precipitates in the near surface region layer of titanium increases dramatically its wear resistance.

Keywords: Surface alloying; pulsed plasma beams; Ti substrate

  • Lecture (Conference)
    SMMIB, Marburg, 9.-14. September 2001
  • Surface and Coatings Technology 158-159 (2002) 324-327

Permalink: https://www.hzdr.de/publications/Publ-4855


AMS measurements of the 25Mg(p,gamma)26Al reaction at stellar energies

Arazi, A.; Bürger, W.; Faestermann, T.; Niello, J. F.; Knie, K.; Korschinek, G.; Richter, E.; Rugel, G.; Wallner, A.; Wallner, C.

no abstract delivered from author

  • Lecture (Conference)
    DPG-Tagung, Erlangen, 20. März 2001
  • Lecture (Conference)
    Astronomy with radioactivities III, Ringberg, 23.-26. Mai 2001
  • Lecture (Conference)
    International Conference on Applications of High Precision Atomic & Nuclear Methods, september 2-5, 2002, Neptun, Romania
  • Lecture (Conference)
    XXIV Brazilian Workshop on Nuclear Physics, august 31 - september 4, 2002, Dao Pablo, Brasil
  • Lecture (Conference)
    9th Accelerator Mass Spectrometry Conference, September 9-13, 2002, Nagoya, Japan

Permalink: https://www.hzdr.de/publications/Publ-4853


Elastic recoil detection analysis of ion-exchanged soda-lime glass substrates for a-Si:H devices

Pantchev, B.; Danesh, P.; Kreissig, U.; Schmidt, B.

Elastic recoil detection analysis has been used to study the interaction between the soda-lime glass substrates and the a-Si:H films. It has been established that H and Na ions penetrate from the near-surface region of the substrate into the deposited film. The results have shown that the influence of the substrate on the properties of a-Si:H can be strongly reduced by glass surface modification using the processes of ion exchange and ion extraction.

Keywords: elastic recoil detection analysis; soda-lime glass; ion exchange; hydrogenated amorphous silicon

  • Lecture (Conference)
    International Summer School VEIT 2001, Varna, Bulgaria, Sept. 17-21, 2001
  • Vacuum 69 (2003) 289

Permalink: https://www.hzdr.de/publications/Publ-4852


Application and Improvement of the spreading resistance method for p-type 6H-SiC

Gebel, T.; Panknin, D.; Riehn, R.; Parascandola, S.; Skorupa, W.

Since the end of the 1960's spreading resistance (SR) measurements have become a routinely used technique for determining charge carrier profiles in silicon. For wide band gap semiconductors however the application of this method is difficult because of the high barrier at the interface between probe tips and the semiconductor surface. In order to lower the barrier two different approaches can be taken. First, the material of the tips could be changed with respect to its work function. But there is a limited choice because of the required mechanical properties of the tips concerning high hardness and low brittleness. The other way is to lower the barrier by influencing the surface states of the semiconductor material. This is actually more promising.
A strong dependence of the SR values on the polishing material for beveling was reported in investigations for the wide band gap semiconductor GaAs [1]. For laser annealed 6H-SiC [2] and 3C-SiC [3] SR measurements have been reported, but very high measuring voltages (1 to 5 V) were used compared to the usual measurements on Si (10 mV). Ahmed et al. found an activation of more than 100% which points to problems in the interpretation of the measurement [2].

In this work the influence of mechanical processing, further annealing of the bevel and finally sputter cleaning on the SR measurements was investigated. The SiC - surface was beveled with a diamond emulsion on a rotating glass plate. Grain sizes of 1.0 µm and 0.1 µm were used. It was found that polishing with the smaller grain size leads to lower resistances. This is comparable to the results which are known for GaAs with Al2O3 emulsion from Ref. [1]. After beveling several of the samples were annealed under vacuum for 5 min at temperatures of 1300 to 1400°C. A modified thin layer (1 to 2 nm) at the surface was formed which resulted in a lowering of the barrier resistance. The measured resistance was lowered up to a factor of three but statistical variations increased.
In order to lower the barrier further, the influence of ion sputtering (Ar+, 2 keV) on the bevel before the measuring procedure was tested. The sputtering was carried out at a current density of 50 µAcm-2 for 300 s. During the process the temperature increased to 57 to 69°C. Subsequent SR measurements showed up to two orders of magnitude lower resistance. The shape of the depth profile remained the same and the statistical error of the SR dropped significantly. Samples with resistivities larger than 0.2 cm were not measurable after beveling but after subsequent sputtering. The resistivity range in which SR measurements can be carried out was extended to 3 cm. First investigations of SR depth profiles using this sputtering method show a very good reproducibility at remarkably small variations of the measured values.
The samples were implanted with Al+ at multiple energies of 450, 240, 115 and 50 keV to form a 500 nm thick homogeneously doped layer with plateau concentrations in the range of 5 1019 to 5 1021 cm-3. Various post annealing processes were carried out to cover a broad range of resistivities with the samples. Resistivities and mobilities were obtained from Hall measurements [4]. For SR profiling a SENTECH SR-210 device was used. The probe tips were made of sintered tungsten carbide with a tip diameter of 5 µm. The measuring voltage was kept constant at 10 mV allowing to measure resistance values up to 1 G . The highest spatial resolution of the apparatus was 5 nm and the probe tip load during the measurements 9 g.

References:

[1] G. Queirolo, J. Electrochem. Soc., 125 (10), 1672 (1978).
[2] S. Ahmed, C.J. Barbero and T.W. Sigmon, Appl. Phys. Lett. 66 (6), 712 (1995).
[3] J. A. Edmond, S.P. Withrow, W. Wadlin, R.F. Davis, Mat. Res. Soc. Symp. Proc.,
Vol. 77, 193 (1987).
[4] D. Panknin , H. Wirth, M. Mücklich, W. Skorupa, Mat. Sci. Engin. B56 (1999), in print

Keywords: Spreading resistance

  • Lecture (Conference)
    ICSRCM Conference on SiC and related materials, Raleigh (NC) USA, 10.-15.10.1999
  • Mat. Sci. Forum 338-342 (2000) 741

Permalink: https://www.hzdr.de/publications/Publ-4851


Ion beam synthesized group IV nanoclusters in SiO2 layers: a promising approach for non-volatile memories and silicon-based light emitters

Gebel, T.; Rebohle, L.; Zhao, J.; von Borany, J.; Stegemann, K.-H.; Mrstik, B.; Skorupa, W.

Ion beam synthesis (IBS) as a powerful tool for the modification of ultrathin layers allows the formation of functional nanostructured layers for micro- and optoelectronics. Such modified SiO2 layers are promising candidates for future non-volatile memory devices. Furthermore SiO2 layers containing nanostructures produced by IBS using group IV elements show strong blue-violet photo- and electroluminescence (EL) which is of great interest for novel optoelectronic devices.
In this paper we will report on our recent progress in the microstructural and electrical investigation of Ge and Si rich silicon dioxide layers. The group IV elements were implanted into thermally grown SiO2 layers to atomic concentrations of 0.3 .. 6% followed by different annealing steps. The microstructural properties were investigated using TEM, RBS and EDX. Electrical measurements using IV, Photo - IV and CV methods were focused on the injection and conduction mechanism as well as charge storage properties. The determined position of the charge centroid correlates well with the microstructural results. It will also be shown by a method combining IV and CV measurements that not only electron injection from the substrate but also hole injection from the top electrode takes place. A direct comparison of these results to the EL properties provides new impact in the understanding of the EL excitation mechanism.

Keywords: nanocluster; memory; Si based light emitter

  • Lecture (Conference)
    MRS Spring Meeting, San Francisco (USA), 16.-20.04.2001

Permalink: https://www.hzdr.de/publications/Publ-4850


Silizium basierte Lichtemitter: Neue Möglichkeiten für Lab-on-Chip Systeme ?

Gebel, T.; Rebohle, L.; Howitz, S.; von Borany, J.; Skorupa, W.

In den hier beschriebenen Untersuchungen werden Nanostrukturen durch Ionenimplantation in thermisch auf einen Siliziumwafer (100, n-Typ) aufgewachsene SiO2 - Schichten erzeugt. Im Anschluß an die Ionenimplantation werden durch eine Temperung Strahlenschäden ausgeheilt und die Clusterbildung angeregt. Die so erhaltenen Nanocluster weisen Größen von 4 ... 6 nm auf. Als Frontkontakt der Lumineszenzstrukturen wird eine aufgesputterte transparente Indium-Zinnoxid (ITO) - Schicht lithographisch in kreisrunde Flächen von 0.2mm2 strukturiert. Der Rückseitenkontakt wird durch Al - Beschichtung der Wafersrückseite hergestellt.
Die Metall-Oxid-Halbleiter (MOS) - Anordnung mit clusterhaltigen Schichten wird durch eine zwischen der ITO - Deckelektrode und dem Si - Substrat angelegte Spannung zur Elektrolumineszenz (EL) angeregt. Die emittierte Lichtleistung hängt linear von der Anregungsleistung ab. Für Untersuchungen zur Photolumineszenz (PL) erfolgte die Anregung mit einer Xenon - Lampe bei einer Wellenlänge von 250 nm. Das Spektrum des emittierten Lichts liegt im blau/violetten Wellenlängenbereich und enthält zudem noch einen beträchtlichen UV - Lichtanteil. Die erzielten Leistungseffizienzen erreichen Werte bis zu 0.5%.
Ab Lichtleistungen von 10 nW, also bei ca. 5 µWcm-2 , ist das Licht mit bloßem Auge sichtbar. Maximale Lichtleistungen liegen derzeit bei einigen Hundert nW. Durch eine Verbesserung der Kantenpassivierung der Emitterstrukturen ist eine weitere Erhöhung der Betriebsleistung möglich.
Derzeit sind die für die Fluoreszenzanalyse verwendeten bioaktiven Substanzen nahezu alle auf die häufig verwendeten 633 nm - Laser optimiert. Es sind jedoch alternativ Farbstoffe, die blau/violett oder im UV angeregt werden können, verfügbar. Damit könnten derart integrierbare, in Siliziumtechnologie hergestellte Emitter - Strukturen in Lab-on-a-Chip Systemen Anwendung finden. Zudem wird eine ortsaufgelöste Anregung möglich, da die Lichtemitter mittels gängiger Photolithographie einfach strukturiert und dann durch entsprechende Chip - Ansteuerung gezielt geschaltet werden können. Eine kostengünstige Realisierung der Strukturen würde sogar die Verwendung als "Disposable" ermöglichen.

Im Vortrag werden die optischen und elektrischen Eigenschaften der Emitter vorgestellt. Ausgehend vom jetzigen Erkenntnisstand werden Anwendungen, wie z. B. ein integrierter Optokoppler, und Perspektiven siliziumbasierter Lichtemitter auf der Basis von Nanostrukturen aufgezeigt. Für zukünftige Applikationen sind dabei insbesondere Möglichkeiten der Einstellung der Wellenlänge durch geeignete Herstellungsvarianten interessant. Die internationalen Aktivitäten hinsichtlich der Realisierung von Si - basierten Lasern versprechen weitere interessante Aspekte für die Anwendung derartiger Strukturen.

Keywords: Biosensorik; nanocluster; Si based light emission

  • Poster
    2. Biosensor Symposium, Tübingen (Germany) 01.-03. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4849


Emitterarray (violett/UV) für die Biotechnologie

Gebel, T.

Im Vortrag wird ein Überblick über mögliche Anwendungen Si-basierter Lichtemitter in der Biotechnologie vorgestellt. Dabei wird besonders auf die Anforderungen an den Emitter eingegangen.

Keywords: Si based light emission; fluorescence analysis; biotechnology

  • Lecture (others)
    Vortrag auf der Jahrestagung des Kompetenzzentrums Nanotechnologie "Ultradünne funktionale Schichten", Dresden, 29.11.2001

Permalink: https://www.hzdr.de/publications/Publ-4848


Si- and Ge nanoclusters in ion implanted SiO2: electrical properties and memory applications

Gebel, T.

The talk gives an overwiew about current results from IV and CV investigations of Ge and Si implanted oxide layers. The electric properties of the devices and the investigation of memory parameters will be discussed.

Keywords: non volatile memory; nanocluster

  • Lecture (others)
    Lecture at the Institute of Semiconductor Physics, Academy of Science, 16.11.2001, Kiev (Ukraine)

Permalink: https://www.hzdr.de/publications/Publ-4847


Subthreshold φ meson production in heavy-ion collisions

Zénétyi, M.; Barz, H. W.; Wolf, G.; Kämpfer, B.

Within a BUU type transport model we study φ meson production in subthreshold Ni+Ni and Ru+Ru reactions. For the first time we included in our model the elementary reaction channels φ+N, Δ → φ+N, π+N(1520)Δ+N and πρ → φ. In spite of a substantial increase of the φ multiplicities by these channels our results stay significantly below the preliminary experimental data.

  • J. Phys. G 28 (2002) 2133

Permalink: https://www.hzdr.de/publications/Publ-4846


Evolution of microstructure of instrumental AISI M2 steel after plasma immersion nitrogen and carbon implantation

Uglov, V. V.; Fedotova, J. A.; Kuleshov, A. K.; Danilyuk, A. L.; Kvasov, N. T.; Günzel, R.; Reuther, H.; Richter, E.

Investigations of the elemental and phase composition, microstructure, microhardness and tribological properties of AISI M2 steel subjected to nitrogen and carbon plasma-immersion ion implantation (PIII) at different regimes arew presented

Keywords: Plasma immersion ion implantation; AISI M2 steel; Phase composition; Thermoelastic stress

  • Surface and Coatings Technology 136 (2001) 226-230

Permalink: https://www.hzdr.de/publications/Publ-4845


A combination of deltaE-E gas ionisation chamber and time-of-flight technique for heavy ion ERDA

Kreissig, U.; Grötzschel, R.; Liechtenstein, V. K.

A new TOF-energy telescope was installed at the ERDA beamline of the Rossendorf 5 MV tandem accelerator. The TOF-system consists of a small MCP-start-detector using a 45° tilted C-foil and a large mirror-type MCP-stop-detector with a flight path of about 100cm. In order to reduce the energy straggling of recoils in the start and stop detector diamond-like carbon (DLC) foils with thicknesses in the range of 0.5 up to 1.0 mg/cm2 are used. The energy detector is a large solid-angle DE-E gas ionisation chamber. This chamber is position sensitive in the scattering plane and enables kinematic correction. The total solid angle of this TOF- energy telescope amounts to about 3.2 msr and is mainly limited by the size of the stop-MCP. The most important features and advantages of this combination are as follows:

  • In contrast to conventional Si- energy detectors the ionisation chamber suffers no damage by heavy particles.
  • The ionisation chamber enables very easy a kinematic correction in the range of ±0.05° of the scattering angle.
  • There are different options to separate the detected particles according to their mass or to their atomic number and to use the energy information from the ionisation chamber or from the TOF.
Data for energy- and time- resolution and the resulting values for depth- and mass- resolution are given and the limiting parameters of the telescope are discussed. ERDA-spectra and resulting depth profiles obtained with 35 MeV Cl-ions at thin multilayer structures will be presented demonstrating the properties of this telescope.
  • Poster
    International Conference on Ion Beam Analysis, Cairns, Australia, 15-20 July 2001

Permalink: https://www.hzdr.de/publications/Publ-4844


Hydrogen depth resolution in multilayer metal structures, comparison of elastic recoil detection and resonant nuclear reaction method

Wielunski, L. S.; Grambole, D.; Kreissig, U.; Grötzschel, R.; Harding, G.; Szilagyi, E.

Four different metals: Al, Cu, Ag and An have been used to produce four special multilayer samples to study the depth resolution of hydrogen. The layer structure of each sample was analysed using 2 MeV He Rutherford backscattering spectrometry, 4.5 MeV He elastic recoil detection (ERD) and 30 MeV F6+ HIERD. Moreover the hydrogen distribution was analysed in all samples using H(N-15, alphagamma)C-12 nuclear reaction analysis (NRA) with resonance at 6.385 MeV. The results show that the best depth resolution and sensitivity for hydrogen detection are offered by resonance NRA. The He ERD shows good depth resolution only for the near surface hydrogen. In this technique the depth resolution is rapidly reduced with depth due to multiple scattering effects. The 30 MeV F6+ HIERD demonstrated similar hydrogen depth resolution to He ERD for low mass metals and HIERD resolution is substantially better for heavy metals and deep layers.

Keywords: Elastic recoil detection; Heavy ion elastic recoil detection; Hydrogen depth profiling; Ion multiple scattering; Nucler rection analysis

  • Nucler Instruments and Methods in Physics Research B #190 (2002)693-698
  • Poster
    International Conference on Ion Beam Analysis, Cairns, Australia, 15-20 July 2001

Permalink: https://www.hzdr.de/publications/Publ-4843


Renormalization of the Two-Photon Vacuum Polarization and the Self Energy Vacuum Polarization for a Tightly Bound Electron

Zschocke, S.; Plunien, G.; Soff, G.

The renormalization method of Bogoljubov-Parasiuk-Hepp-Zimmermann (BPHZ)
is used in order to derive the renormalized energy shift due to the
gauge invariant Källén-Sabry diagram of the two-photon vacuum
polarization (VPVP) as well as the self energy vacuum polarization
S(VP)E beyond the Uehling approximation.
It is outlined, that no outer renormalization is required for the
two-photon vacuum polarization and that only the inner renormalization
has to b e accomplished.
It is shown that the so-called nongauge invariant spurious term
is absent for a wide class of vacuum polarization (VP)
diagrams if one applies the widely used spherical expansion of bound
and free-electron propagator.
This simplifies significantly calculations in bound state quantum
electrodynamis.
As one result of our paper the use of the BPHZ-approach in bound
state QED is established.

  • Eur. Phys. J. D19 (2002) 147

Permalink: https://www.hzdr.de/publications/Publ-4842


PIII-nitriding of boron implanted stainless steel

Mändl, S.; Günzel, R.; Hammerl, C.; Richter, E.; Rauschenbach, B.; Möller, W.

In this report we present results of nitrogen PIII into 50 keV boron implanted austenitic stainless steel at 400°C and 40 kV. A surface layer of 90 nm consisting of expended austenite (lattice expansion 6.5%) and ferrite as well as containing 30 at% B was found. This layer was followed by another one containing expanded austenite with reduced expansion (lattice expansion 2.5%) before the base material was reached. Auger electron spectroscopy (AES) and X-ray diffraction (XRD) at variuos angels of incidence were used to obtain results. After annealing at 400°C for 5h further nitrogen diffusion, but no boron diffusion was observed.

Keywords: PIII; Stainless steel; Boron; Nitrogen

  • Surface and Coatings Technology 136 (2001) 176-180

Permalink: https://www.hzdr.de/publications/Publ-4841


Production of ω and φMesons in Near-Threshold πN Reactions: Baryon Resonances and the Okuba-Zweig-Iizuka Rule

Titov, I. A.; Kämpfer, B.; Reznik, B. L.

Results of a combined analysis are presented for the production of ω and φ mesons in π reactions in the near-threshold region using a conventional "nonstrange" dynamics based on processes that are allowed by the nonideal ω-φ mixing. We show that the interferences of the t channel (meson exchange) and s, u channels (nucleon and nucleon-resonances) differ significantly for the ω and φ production amplitudes. This leads to a decrease of the relative yields, in comparison with the expectations based on a one-component amplitude with standard ω-φ mixing.
We find a strong and nontrivial difference between observables in ω and φ production reactions caused by the different roles of the nucleon and nucleon-resonance amplitudes. A series of predictions for the experimental study of this effect is presented.

  • Phys. Rev. C 65 (2002) 065202

Permalink: https://www.hzdr.de/publications/Publ-4840


Integral Vessel Failure Experiment Analysis and Investigation of a Possible Vessel Support

Willschütz, H.-G.; Altstadt, E.

Integral scaled coupled melt pool convection and vessel creep failure experiments are being performed in the FOREVER program at the Royal Institute of Technology, Stockholm. These experiments are simulating the lower head of a pressurized reactor vessel under the thermal load of a melt pool with internal heat sources. Due to the multi axial creep deformation of the three-dimensional vessel with a highly non-uniform temperature field these experiments offer an excellent opportunity to validate numerical creep models. A Finite Element model is developed and using the Computational Fluid Dynamic module, the melt pool convection is simulated and the temperature field within the vessel wall is evaluated. The transient structural mechanical calculations are then performed applying a new creep modeling procedure. Additionally, the material damage is evaluated considering the creep deformation as well as the prompt plasticity.
Pre- and post-test calculations of at least 4 FOREVER experiments have been performed successfully. Taking into account both - experimental and numerical results - gives a good opportunity to improve the simulation and understanding of real accident scenarios.
After analyzing the calculations, it seems to be advantageous to introduce a vessel support which can unburden the vessel from a part of the mechanical load and, therefore, avoid the vessel failure or at least prolong the time to failure. This can be a possible accident mitigation strategy. Additionally, it is possible to install an absolutely passive automatic control device to initiate the flooding of the reactor pit to ensure external vessel cooling in the event of a core melt down. This idea has been patented.

Keywords: Severe Accident; Integral Vessel Failure Experiment; FEM Simulation; Vessel Support

  • Contribution to WWW
    Webseite der Arthur-und-Aenne-Feindt-Stiftung, Hamburg (www.feindt-stiftung.de)

Permalink: https://www.hzdr.de/publications/Publ-4839


Blaue Lumineszenz aus Nanostrukturen

Gebel, T.

Ein großer Traum der Mikroelektronik ist die Realisierung von Lichtquellen in Silizium, da dieses Material die (billige) Grundlage der gängigen Mikroelektronik - Chips ist. Noch in den 80er Jahren erschien dies unmöglich, da Silizium als indirekter Halbleiter zur Lichtemission an sich ungeeignet ist. Teure und aufwendige andere Verfahren und Werkstoffe (z. B. Verbindungshalbleiter wie Gallium-Arsenid, Gallium-Nitrid, Silizium-Carbid usw.) werden derzeit für Leuchtdioden verwendet. Diese Technologien sind zwar weit entwickelt, vermögen aber eins nicht: die Integration einer solchen Lichtquelle in herkömmliche Chipstrukturen, also direkt auf einem Silizium - Chip in einem reinen Si- Herstellungsprozeß.
Seit Anfang der 90er Jahre kann mit dem Einzug der Nanotechnologien durch modifizierte Schichten und Strukturen im Nanometer - Bereich die bisherige Schranke des Siliziums überwunden werden: die in das Silizium oder in Siliziumdioxid eingebetteten Nanostrukturen können aufgrund ihrer speziellen Eigenschaften selbst zum Leuchten angeregt werden. Ein mögliches Verfahren zur Erzeugung derartiger Nanostrukturen ist die Ionenstrahlsynthese Durch die Verbindung von Ionenstrahl- und Temperverfahren können z. B. Nanocluster in SiO2 hergestellt werden. Derartige Schichten zeigen bei elektrischer Anregung eine blaue/violette Lumineszenz, die zudem auch noch einen beträchtlichen UV - Lichtanteil enthält. Der Beitrag gibt eine Übersicht über elektrische und optische Eigenschaften derart modifizierter Schichten und zeigt mögliche Anwendungen.

Keywords: Lumineszenz; Nanostrukturen

  • Lecture (Conference)
    2. Fachforum Nanotechnologie, OTTI-Technik Kolleg, Würzburg, 24. Oktober 2001
  • Contribution to external collection
    2. Fachforum Nanotechnologie, OTTI-Technik Kolleg, Würzburg, 24. Oktober 2001

Permalink: https://www.hzdr.de/publications/Publ-4838


Lichtemission aus Nanostrukturen: Neue Ansätze für integrierte optische Systeme in Siliziumtechnologie

Gebel, T.

Die Realisierung von Lichtquellen auf Silizium-Basis erschien noch in den 80er
Jahren unmöglich. Lichtemitter aus Verbindungshalbleitern hingegen sind
technologisch sehr weit entwickelt, vermögen aber eins nicht: die Integration in
herkömmliche Chipstrukturen, also direkt auf einem Silizium - Chip. Seit Anfang
der 90er Jahre kann mit dem Einzug der Nanotechnologien durch modifizierte
Schichten und Strukturen im Nanometer - Bereich diese bisherige Schranke des
Siliziums überwunden werden: Aufgrund ihrer speziellen Eigenschaften können in
einem reinen Si - Herstellungsprozeß erzeugte Nanostrukturen zum Leuchten
angeregt werden. Im Vortrag werden aktuelle Trends der siliziumbasierten
Lichtemission vorgestellt und Anwendungsfelder beschrieben, u. a. die mögliche
Verwendung integrierter optischer Strukturen in Lab-on-Chip Systemen für die
Biotechnologie.

Keywords: Si-basierte Lichtemission; Nanostrukturen

  • Lecture (others)
    VDI-Forum Nanoworld, Hannover Messe 2001, Hannover, 24. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4836


Neue Möglichkeiten für integrierte optische Systeme in Siliziumtechnologie durch Lichtemission aus Nanostrukturen

Gebel, T.

Der Vortrag gibt einen Überblick über aktuelle Entwicklungen im Bereich der Si-basierten Lichtemission. Insbesondere wird ein integrierter Optokoppler vorgestellt und es werden mögliche Anwendungsgebiete aufgezeigt.

Keywords: Nanostrukturen; Si-basierte Lichtemission

  • Lecture (others)
    Vortrag an der TU Chemnitz, 19. März 2001

Permalink: https://www.hzdr.de/publications/Publ-4835


Measurement of the 25Mg(p,gamma)26Al reaction at stellar energies

Arazi, A.; Faestermann, T.; Fernandez Niello, J.; Knie, K.; Korschinek, G.; Richter, E.; Rugel, G.; Wallner, C.

Stellar nuclear reactions, generally occurring at energies well below the Coulomb barrier, have extremely low cross sections posing a very difficult task for theri determination using the usual promt gammy-ray detection method. We describe here the application of the highly sensitive Accelerator Mass Spectrometry technique (AMS) as an alternative method for the determination of the resonance strenghts of the 25Ma(p,gamma) reaction, by off-line counting of the produced 26Al nuclei.

Keywords: Nuclear reactions; Mass spectroscopy

  • New Astronomy Reviews 46 (2002) 525-528

Permalink: https://www.hzdr.de/publications/Publ-4833


Monitoring-System mit adaptiven Wärmebilanzen für Batch-Reaktoren

Hessel, G.; Kryk, H.; Schmitt, W.; Seiler, T.; Hilpert, R.; Roth, M.; Deerberg, G.

Zur Unterstützung des Bedienungspersonals bei der Prozessführung komplexer heterogener Hydrierprozesse in Batch-Reaktoren (Rührkesselreaktoren) wurde ein Online-Monitoring-System (MoSys) entwickelt. Die Industrieerprobung erfolgte im Rahmen eines entwickelten Batch-Informations-Management-Systems (BIMS), das in einem separaten Industrie-PC implementiert und über den Terminalbus in die vorhandene Automatisierungstechnik einer Mehrzweckanlage der Degussa AG eingebunden wurde. Neben den Online-Konzentrationsprofilen für das Edukt, das Endprodukt und ein summarisches Zwischenprodukt lieferte MoSys Informationen zur Umsatzgeschwindigkeit und zur verbleibenden Restdauer bis zum Ende der Hauptreaktion, ohne dass eine chemische Online-Prozessanalytik zwingend notwendig ist. Die Betriebserfahrungen von zwei Produktionskampagnen zeigten, dass das BIMS/MoSys stabil und zuverlässig arbeitete und die Konzentrationsprofile gut mit den erwarteten Konzentrationsverläufen übereinstimmten.
Ferner bietet BIMS/MoSys dem Prozessexperten die Möglichkeit, betrieblichen Nutzen zu ziehen, z. B. durch

  • Einsparung einer chemischen Online-Prozessanalytik,
  • Erweiterung und Archivierung des bestehenden Prozesswissens,
  • bessere Reproduzierbarkeit der Produktqualität bei komplexen Batch-Prozessen,
  • verbesserte Prozessführung durch die genauere Ermittlung anlagen- und prozessspezifischer Kenngrößen (H2-Verbrauch, Energieverbrauch, Produktausbeute, unerwünschte Zwischen- oder Nebenprodukte),
  • Fehler- und Ursachenanalyse anhand der archivierten Batches,
  • Rückverfolgbarkeit von Batches bei Problemen (z. B. Qualitätsbeanstandungen),
  • Aufbau eines automatisierten Batch-Reports.
Darüber hinaus können unerwünschte Betriebszustände mit erhöhter Zwischenproduktakkumulation und verringerter Raum-Zeit-Ausbeute frühzeitig erkannt und dadurch rechtzeitig Gegenmaßnahmen eingeleitet werden, um größere Verluste zu vermeiden. Das auf adaptiven Stoff- und Wärmebilanzen basierende Online-Monitoring-System ist bei allen ausreichend exothermen Semibatch-Prozessen einsetzbar, wenn geeignete Informationen in den Stoff- und Wärmebilanzen enthalten sind.
  • Chemie Ingenieur Technik 74, 12 (2002) S. 1692-1698

Permalink: https://www.hzdr.de/publications/Publ-4832


Charge-Exchange Reaction pD → n(pp) in the Bethe-Salpeter Approach

Semikh, S. S.; Dorkin, S. M.; Kaptari, L. P.; Kämpfer, B.

The deuteron charge - exchange reaction pD → n(pp) for the low values of momentum transfer and small excitation nergies of final pp - pair is considered in the framework of Bethe - Salpeter approach. The method of calculation of the observables is developed for the case, when the pp - pair is in 1S0 - state. The methodical numerical calculations of the differetial cross sections and tensor analysing powers are presented. The reaction under consideration is predicted to be a solid base for construction of the deuteron tensor polarimeter at high energies, and also to obtain some additional information about elementary nucleon - nucleon charge - exchange amplitude.

  • Phys.Atom.Nucl. 65 (2002) 442-455, Yad.Fiz. 65 (2002) 469-482

Permalink: https://www.hzdr.de/publications/Publ-4831


Ionenstrahlanalyse - ideal zur Charakterisierung historischer Gläser

Mäder, M.; Neelmeijer, C.

Die Glaskorrosion, hervorgerufen durch Umwelteinflüsse, ist eine ernstzunehmende Gefährdung vor allem für wertvolle historische Glasobjekte. Zur zerstörungsfreien Charakterisierung eines Glases werden drei ionenstrahlanalytische Techniken (PIXE, PIGE, RBS) in einer simultanen Messung mit dem externen, an Luft ausgeführten, Protonenstrahl kombiniert. Spezielle Auswerteprozeduren ermöglichen die Bestimmung der chemischen Zusammensetzung und die Beurteilung des Korrosionszustandes des Objektes. Neben Hinweisen auf die kunstgeschichtliche Einordnung können daraus vorsorgliche Empfehlungen für die Aufbewahrung besonders gefährdeter Gläser abgeleitet werden. Die Anwendung des Verfahrens wird an zahlreichen Glasobjekten aus dem Kunstgewerbemuseum Dresden und dem Kunstmuseum Düsseldorf demonstriert.

  • Lecture (Conference)
    DPG-Tagung, Regensburg, 11.-15. März 2002

Permalink: https://www.hzdr.de/publications/Publ-4829


Testing Mean-Field Models Near the N = Z Line: γ-ray Spectroscopy of the Tz=½ Nucleus 73Kr

Kelsall, N. S.; Fischer, S. M.; Balamuth, D. P.; Ball, G. C.; Carpenter, M. P.; Clark, R. M.; Durell, J.; Fallon, P.; Freeman, S. J.; Hausladen, P. A.; Janssens, R. V. F.; Jenkins, D. G.; Leddy, M. J.; Lister, C. J.; Macchiavelli, A. O.; Sarantites, D. G.; Schmidt, D. C.; Seweryniak, D.; Svensson, C. E.; Varley, B. J.; Vincent, S.; Wadsworth, R.; Wilson, A. N.; Afanasjev, A. V.; Frauendorf, S.; Ragnarsson, I.; Wyss, R.

Excited states in the N=Z + 1 nucleus 73Kr have been investigated using the 40Ca(36Ar,2pn) and 40Ca(40Ca,α2pn) reactions at 145 and 160 MeV, respectively. γ-rays were detected using the Gammasphere array and events were re corded in coincidence with charged-particle and neutron detectors.
The three previously observed bands were extended to high spin, and a new unfavored positive-parity band has been observed. The alignment characteristics and decay properties of the bands are all consistent with large-deformation prolate rotation, with no clear evidence for oblate bands or shape coexistence. This is quite different from neighboring 72,74Kr, indicating a strong shape-stabilizing role for the valence neutron. The experimental results are compared to extended total Routhian surface, cranked Nilsson Strutinsky, and cranked relativistic mean-field calculations. The results suggest that the paired calculations lack some important physics. Neutron-proton correlations may be the missing ingredient. There is also evidence for an unusual band crossing in the negative-parity bands, which may in dicate the presence of T = 0 pairing correlations. At high spin all the models can reproduce the experimental data.

  • Phys. Rev. C 65 (2002) 044331

Permalink: https://www.hzdr.de/publications/Publ-4828


Nachrechnung von mittelskaligen Experimenten zum Kriechbruchversagen von Druckbehältern

Altstadt, E.; Willschütz, H.-G.

For calculations of Lower Head Failure experiments like FOREVER (performed at the Royal Institute of Technology, Stockholm) it is necessary to model the melt pool convection and the temperature field within the vessel as well as creep and plasticity processes. Therefore a 2D Finite Element Model is developed based on the code ANSYS®. The CFD module is used to calculate the thermodynamics. The resulting temperature field of the vessel wall is applied to the mechanical model. To describe the visco-plastic deformation a numerical creep data base (CDB) is developed where the creep strain rate is evaluated in dependence on the current total strain, temperature and equivalent stress. In this way the use of a single creep law, which employs constants derived from the data for a limited stress and temperature range, is avoided. For an evaluation of the failure times a damage model according to an approach of Lemaitre is applied.
After post-test calculations for the FOREVER-C2 experiment, pre-test calculations for the forthcoming experiments are performed. Taking into account both - experimental and numerical results - gives a good opportunity to improve the simulation and understanding of real accident scenarios. After analyzing the results of the calculations, it seems to be advantageous to provide a vessel support, which can unburden the vessel from a part of the mechanical load and, therefore, avoid the vessel failure or at least prolong the time to failure. This can be a possible accident mitigation strategy. Additionally, it may be advantageous to install a passive automatic control device to initiate the flooding of the reactor pit to ensure external vessel cooling in the event of a core melt down.

  • Lecture (Conference)
    2. Workshop "Kompetenzverbund Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 13
  • Contribution to proceedings
    2. Workshop "Kompetenzverbund Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 13

Permalink: https://www.hzdr.de/publications/Publ-4827


Polarization Observables in the Reaction pn→dΦ

Kaptari, L. P.; Kämpfer, B.

The reaction pn→dΦ is studied within a covariant boson exchange model. The behavior of polarization observables being accessible in forthcoming experiments near threshold is predicted.

PACS. 13.75.-n Hadron-induced low- and intermediate-energy reactions and scattering (energy ≤ 10 GeV) - 14.20.-c Baryons (including antiparticles) - 21.45.+v Few-body systems
  • Eur. Phys. J. A 14 (2002) 211-217

Permalink: https://www.hzdr.de/publications/Publ-4826


Dileptons and Photons from Central Heavy-Ion Collisions at CERN-SPS

Kämpfer, B.; Gallmeister, K.; Pavlenko, O. P.; Gale, C.

A unique parameterization of secondary (thermal) dilepton and photon yields in heavy-ion experiments at CERN-SPS is proposed. Adding those thermal yields to background contributions the spectral shapes of the CERES/NA45, NA38, NA50, HELIOS/3 and WA98 data from experiments with lead and sulfur beams can be well described.

  • Nucl. Phys. A 698 (2002) 424

Permalink: https://www.hzdr.de/publications/Publ-4825


Bewertung der Neutronenversprödung russischer Druckbehälterstähle anhand der Ergebnisse der Bestrahlungsexperimente Rheinsberg

Böhmert, J.; Viehrig, H.-W.; Ulbricht, A.

Im WWER-Prototyp-Reaktor WWER-2 des KKW Rheinsberg ist ein umfangreiches Bestrahlungsprogramm zur Untersuchung der Anfälligkeit von WWER-Reaktordruckbehälterstählen und -schweißwerkstoffen gegen Neutronenversprödung durchgeführt worden. Bestrahlt wurden insgesamt 21 verschiedene Chargen derartiger Materialien. Vergleichend wurden auch ASTM-bezogene Druckbehälterstähle in das Programm einbezogen. Zur Charakterisierung der bestrahlungsbedingten Änderungen wurden Charpy-Kerbschlagbiegeversuche, Zugversuche, Härtemessungen, bruchmechanische Versuche und Neutronenkleinwinkelstreuexperimente herangezogen. In jedem Fall sind die für die Neutronenversprödung typischen Eigenschaftsänderungen, wie Erhöhung der Übergangstemperaturen, Absenkung der Kerbschlag-Hochlagenenergie und Härte- bzw. Festigkeitssteigerung, nachgewiesen worden. Der Effekt wächst mit der Neutronenfluenz, zeigt aber darüber hinaus beträchtliche stahlmarken- bzw. chargenabhängige Unterschiede. Ein wichtiger Einflussparameter ist der Gehalt an Kupfer und Phosphor, der aber allein die unterschiedliche Versprödungsempfindlichkeit nicht erklären kann.
Vergleicht man die Ergebnisse des Bestrahlungsprogrammes Rheinsberg mit der Vorhersage auf der Basis der im russischen Normenwerk verankerten Vorhersagegleichungen, so wird in etlichen Fällen auch für Werkstoffe, die innerhalb der WWER-Spezifikation liegen, das Verhallten nicht konservativ vorausgesagt. Damit werden Schwächen im System der russischen Vorhersageprozeduren aufgedeckt.

  • Lecture (Conference)
    2. Workshop "Kompetenzverbund Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 17
  • Contribution to proceedings
    2. Workshop "Kompetenzverbund Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 17

Permalink: https://www.hzdr.de/publications/Publ-4824


The colour of silver stained glass - analytical investigations carried out with XRF, SEM/EDX, TEM, and IBA

Jembrih-Simbürger, D.; Neelmeijer, C.; Schalm, O.; Fredrichx, P.; Schreiner, M.; de Vis, K.; Mäder, M.; Schryvers, D.; Caen, J.

Glass treated on its surface with silver compounds and an aluminosilicate, such as ochre or clay, at higher temperatures (between 550 and 650°C) accepts a wide variety of a yellow colour. It is the aim of this study to investigate the parameters of the manufacturing process affecting the final colour of silver stained glass and to correlate them with the final colour and colour intensity. Therefore, defined mixtures of ochre and a silver compound (AgCl, AgNO3, Ag2SO4, Ag3PO4, Ag2O) were prepared and applied on soda-lime glass. The firing process was modified within the range from 563 to 630°C and glass samples were analysed after treatment with energy dispersive X-ray fluorescence analysis (EDXRF), scanning electron microscopy (SEM/EDX), transmission electron microscopy (TEM), as well as ion beam analysis (IBA) with an external beam. Within the scope of IBA simultaneous measurements using particle-induced X-ray emission (PIXE), particle-induced gamma-ray emission (PIGE), and Rutherford backscattering spectrometry (RBS) were carried out in order to obtain the thickness of the Ag-rich surface layer and the depth distribution of Ag. By means of TEM the microstructure of the silver particles was visualised. XRF results show that the lowest amount of Ag could be detected on glass samples treated with silver stain mixtures containing AgCl and Ag2O. A low kiln temperature (e.g. 563°C) results in a higher silver concentration at the surface and lower penetration depths. Furthermore, the results obtained with SEM/EDX at cross-sections of the glass samples could be confirmed by PIXE, PIGE, RBS, and TEM.

Permalink: https://www.hzdr.de/publications/Publ-4823


T=0 and T=1 States in the Odd-Odd N=Z Nucleus, 7035Br35

Jenkins, D. G.; Kelsall, N. S.; Lister, C. J.; Balamuth, D. P.; Carpenter, M. P.; Sienko, T. A.; Fischer, S. M.; Clark, R. M.; Fallon, P.; Görgen, A.; Macchiavelli, A. O.; Svensson, C. E.; Wadsworth, R.; Reviol, W.; Sarantites, D. G.; Ball, G. C.; Rikovska Stone, J.; Juillet, O.; van Isacker, P.; Afanasjev, A. V.; Frauendorf, S.

Excited states in 70Br were populated in the 40Ca(32S,pn) reaction at Ebeam = 80-100 MeV and the 40Ca(36Ar, αpn) reaction at Ebeam = 145 MeV. The resulting gamma decay was detected using the Gammasphere array triggered by a 30-element neutron detector. The cross-bombardment allowed the unambiguous assignment of levels to 70Br, comprising a total of 32 states built both on the Jπ=0+ ground state and a previously known Jπ=9+ isomer, which is located at an excitation energy of 2293 keV by the observation of linking transitions. The structures are discussed within the context of the two-quasiparticle plus rotor model, the IBM-4 model and the cranked Nilsson-Strutinsky formalism. The nonobservation of a doublet of J = 0, T = 1 and J = 1, T = 0 states at low excitation in 70Br is indicative that T = 0 proton-neutron pairing strength is weak in comparison to T = 1 pairing.

  • Phys. Rev. C 65 (2002) 064307

Permalink: https://www.hzdr.de/publications/Publ-4821


The effect of silicon ion implantation on the structure of tantalum-silicon contacts.

Peikert, M.; Bhandari, R.; Wieser, E.; Wenzel, C.; Mücklich, A.

The effect of ion beam mixing on the formation of tantalum-silicon contacts was studied. Silicon implantation into 50 nm Ta layers on n+-Si (100) was carried out at temperatures from 150 to 500°C and fluences between 1x1015 and 1x1017 Si/cm². To characterize the microstructural changes Auger electron spectroscopy (AES), X-ray diffraction and cross-sectional transmission electron microscopy (TEM) were used. The contact resistance was measured before and after implantation using the four-point Kelvin method. Implantation at low temperature (~150°C) leads to the formation of an amorphous Ta-Si interface-layer. The width of the mixed layer increases linearly with implanted dose and temperature. Substrate amorphisation up to a depth of about 200 nm results in an increase of the contact resistance. An improved contact resistance was achieved by implantation with 5x1016 Si/cm² at 400°C. TaSi2 formation at the interface was observed in this case.

Keywords: Tantalum; Silicon; Contact Resistance; Silicide Formation; Ion Implantation

  • Thin Solid Films 449(2004), 187-191

Permalink: https://www.hzdr.de/publications/Publ-4820


Non-destructive composition analysis of glass artefacts for precaution

Mäder, M.; Neelmeijer, C.; Schreiner, M.

Chemical composition analysis on historical objects of art and archaeology assists in clarifying their authenticity and provenience. In addition, special technologies of their manufacture can be elucidated. Knowledge on the chemical composition represents one of the fundamentals for conservation and preservation concepts for historic silicate materials. The ensemble of ion beam analytical techniques (IBA) using external beams is a tool if non-destructive investigation is required.
Glass corrosion caused by environmental attacks is a serious problem especially for valuable historic objects. This is because the glasses may lose their transparency and stability. Alterations proceeding on the glass surface include ion exchange processes where network modifiers such as potassium and calcium are replaced by hydrogen bearing species to form a hydrated silica-rich surface layer. In order to characterise the state of a glass object showing no visible effects of alteration or corrosion damage, three ion beam based techniques (PIXE, PIGE, RBS) are combined in simultaneous measurements at the Rossendorf external proton beam. Combining PIXE (Proton Induced X-ray Emission) and PIGE (Proton Induced Gamma-ray Emission) the yield of X- and g-radiation of the element Si is ideal to indicate thin leached layers. More extended regions can be characterised by external RBS (Rutherford Backscattering Spectrometry). For getting the composition of the glass bulk the PIXE spectra are treated using the GUPIX code taking into account the experimental findings about the surface corrosion state. The developed procedure allows evaluation of initial corrosion and the identification of glass materials which are sensitive to atmospheric corrosion processes. The analytical results may offer suggestions for storing sensitive glass objects under special atmospheric conditions.
The present contribution demonstrates the applicability and the advantages of IBA techniques by investigating three medieval glass beakers.

Keywords: IBA; PIXE; PIGE; glass corrosion; conservation

  • Lecture (Conference)
    1st International Conference Hyalos Vitrum Glass - History, Technology and Conservation of Glass and Vitreous Materials in the Hellenic World, April 1-4, 2001, Rhodes Greece
  • Contribution to proceedings
    1st International Conference Hyalos Vitrum Glass, 01.-04.04.2002, Rhodes, Greece
    Hyalos Vitrum Glass, Athen: Glasnet Publications, 960-86733-1-3, 249

Permalink: https://www.hzdr.de/publications/Publ-4819


Evidence for the Absence of Regularization Corrections to the Partial-Wave Renormalization Procedure in One-Loop Self-Energy Calculations in External Fields

Goidenko, I.; Plunien, G.; Zschocke, S.; Labzowsky, L.; Soff, G.

The equivalence of the covariant renormalization and the partial-wave
renormalization (PWR) approaches is proven explicitly for the
one-loop self-energy (SE) correction of a bound electron state in
the presence of external perturbation potentials.
No "spurious" correction terms to the noncovariant PWR scheme are
generated for Coulomb-type screening potentials and for external
magnetic fields.
It is shown that in numerical calculations of the SE with Coulombic
perturbation potential spurious terms result from an improper treatment
of the unphysical high-energy contribution.
A method for performing PWR utilizing the relativistic B-spline
approach for construction of the Dirac spectrum in external magnetic
fields is proposed.
This method is applied for calculating QED corrections to the
bound-electron g factor in H-like ions.
Within a level of accuracy of about 0.1 % no spurious
terms are generated in numerical calculations of the SE in magnetic fields

  • Phys. Rev. A 65 (2002) 042110

Permalink: https://www.hzdr.de/publications/Publ-4817


Dependence of Energy Loss of Hard Jets on the Initial Thermodynamic State of Deconfined Matter at RHIC

Gallmeister, K.; Kämpfer, B.; Pavlenko, O. P.

The dependence of the radiative energy loss of hard partons (E<10 GeV) on the initial thermodynamic parameters
is studied for deconfined matter to be expected at the Relativistic Heavy-Ion Collider (RHIC).
We demonstrate that the specific QCD radiation pattern with a nonlinear dependence of the energy
loss on the propagated distance leads to a strong increase of the energy loss with increasing initial
entropy of deconfined matter supposing its lifetime is less than the average time to pass through the medium.
This is in contrast to a parametrization with constant energy loss per unit length of propagation.
For a sufficiently high initial temperature a two-regime behavior of the energy loss as a function
of the initial parton momentum occurs. The angular structure of the energy loss of hard jets with
respect to the initial temperature is also discussed for RHIC conditions.

  • Phys. Rev. C 66 (2002) 014908

Permalink: https://www.hzdr.de/publications/Publ-4816


Centrality Dependence of Thermal Parameters in Heavy-Ion Collisions at Relativistic Energies

Cleymans, J.; Kämpfer, B.; Wheaton, S.

The centrality dependence of thermal parameters, characterizing the hadron multiplicities, is determined phenomenologically
for lead-on-lead collisions at CERN-SPS for a beam energy of 158 A GeV.
The strangeness equilibration factor shows a clear, approximately linear, increase with increasing centrality, while the freeze-out temperature and chemical potential remain constant.

  • Phys. Rev. C 65 (2002) 027901-1 bis 027901-4

Permalink: https://www.hzdr.de/publications/Publ-4815


SiC precipitates formed in Si by simultaneous dual beam implantation of C and Si ions

Kögler, R.; Eichhorn, F.; Mücklich, A.; Reuther, H.; Heera, V.; Skorupa, W.; Lindner, J.

Nanometer-sized SiC precipitates were synthesized at 450oC in Si by simultaneous dual beam implantation of C+ and Si+ ions and subsequent annealing. The results are compared with those of sequential dual beam implantation and of single beam implantation. Two types of SiC precipitates were found. Precipitates of type I with a diameter of d = 4 - 5nm consist of 3C-SiC epitaxially oriented with the Si matrix. They were formed already in the as-implanted state and do not grow further during subsequent annealing. The SiC precipitates of type II with d " 10nm are not oriented with the Si matrix and grow exclusively during the subsequent annealing. The high growth velocity, the misorientation in regard to the Si matrix and the lower concentration of type II precipitates can be explained by the assumption that these precipitates were formed in an amorphous substrate which modifies their interface energy.

Keywords: ion implantation; Si; SiC; material synthesis; nanocluster; precipitates

Permalink: https://www.hzdr.de/publications/Publ-4814


Evolution of ion implantation-caused vacancy-type defects in 6H-SiC probed by positron implantation spectroscopy

Brauer, G.; Anwand, W.; Skorupa, W.

no abstract delivered from author

Keywords: kein

  • Lecture (others)
    IIM Program Seminar, Marienthal Monastery, Germany, June 11-13, 2001

Permalink: https://www.hzdr.de/publications/Publ-4813


Evidence of vacancy-type defects at Rp/2 in ion-implanted Si after annealing

Kögler, R.

The contribution reviewed the state of the art regarding the so called Rp/2 gettering effect. It was shown that ion implantation induced excess vacancies and their agglomerates are the origin of metal gettering in the Rp/2 region of ion-implanted Si. Metal atoms are gettered at the inner wall of the cavities. The removal of the excess vacancies by defect engineering avoids metal gettering at Rp/2. Interstitial-type defects (small clusters of interstitials) are as well present in the Rp/2 region. However, their gettering capability for metal impurities is much smaller than that of the vacancy-type defects.

  • Lecture (Conference)
    Treffen des Arbeitskreises "Punktdefekte", Dresden, Feb. 12-13, 2001

Permalink: https://www.hzdr.de/publications/Publ-4812


Density gradient in SiO2 films on silicon as revealed by positron annihilation spectroscopy

Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

no abstract delivered from author

Keywords: kein

  • Lecture (Conference)
    32nd Semiconductor Interface Specialists Conference, Washington/DC, USA, Nov 29 - Dec 01, 2001

Permalink: https://www.hzdr.de/publications/Publ-4811


Density gradient in SiO2 films on silicon as revealed by positron annihilation spectroscopy

Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

no abstrct delivered from author

Keywords: kein

  • Lecture (Conference)
    9th International Workshop on Slow Positron Beam Techniques for Solids and Surfaces (SLOPOS-9), Dresden, Germany, September 16-22, 2001

Permalink: https://www.hzdr.de/publications/Publ-4810


Positron characteristics of various SiO2 polymorphs

Kuriplach, J.; Anwand, W.; Brauer, G.; Skorupa, W.

no abstract delivered from author

Keywords: kein

  • Lecture (Conference)
    9th International Workshop on Slow Positron Beam Techniques for Solids and Surfaces (SLOPOS-9), Dresden, Germany, September 16-22, 2001

Permalink: https://www.hzdr.de/publications/Publ-4809


The migration of defects and nitrogen atoms in nitrided surface layers of austenitic stainless steel followed by microscopic methods

Jiraskova, Y.; Brauer, G.; Schneeweiss, O.; Blawert, C.; Anwand, W.; Coleman, P. G.

no abstract delivered from author

Keywords: kein

  • Lecture (Conference)
    9th International Workshop on Slow Positron Beam Techniques for Solids and Surfaces (SLOPOS-9), Dresden, Germany, September 16-22, 2001

Permalink: https://www.hzdr.de/publications/Publ-4808


Fluence dependence of damage production in Y-stabilized cubic zirconia by rare-gas ion irradiation

Fradin, J.; Grynszpan, R. I.; Thome, L.; Anwand, W.; Brauer, G.

no abstract delivered from author

Keywords: kein

  • Lecture (Conference)
    9th International Workshop on Slow Positron Beam Techniques for Solids and Surfaces (SLOPOS-9), Dresden, Germany, September 16-22, 2001

Permalink: https://www.hzdr.de/publications/Publ-4807


Investigation of spatial distribution of defects in ultra-fine grained copper

Cizek, J.; Prochazka, I.; Melikhova, O.; Brauer, G.; Anwand, W.; Kuzel, R.; Cieslar, M.; Islamgaliev, R. K.

no abstract delivered from author

Keywords: kein

  • Lecture (Conference)
    9th International Workshop on Slow Positron Beam Techniques for Solids and Surfaces (SLOPOS-9), Dresden, Germany, September 16-22, 2001

Permalink: https://www.hzdr.de/publications/Publ-4806


Depth-dependence recovery of helium-implanted 18 carats gold-silver alloy

Thome, T.; Fradin, J.; Grynszpan, R. I.; Anwand, W.; Brauer, G.

no abstract delivered from author

Keywords: kein

  • Contribution to external collection
    Materials Science Forum 363-365 (2001) 484

Permalink: https://www.hzdr.de/publications/Publ-4805


Positron implantation depth profiles in alpha-irradiated 18 carats gold

Thome, T.; Fradin, J.; Grynszpan, R. I.; Anwand, W.; Brauer, G.

Positron implantation profiles have been investigated over a range of about 1 m on a gold solid solution containing 40 at.% of Ag, after helium implantation at 300 keV. The S parameter lineshape of the annihilation radiation, sensitive to both the size and the concentration of vacancy type defects, was measured after isochronal annealing up to 930 K. Depending on the incident energy of the positron, i.e. the distance between its mean implantation depth and the helium concentration maximum, several recovery stages were unambiguously observed attributed, respectively, to free vacancy migration (I), helium stabilization of larger defects (II) and competitive processes of bubble nucleation (III) and breaking up (IV). These latter mechanisms lead to the observation of a lineshape maximum at T=0.5Tm (melting point), already observed for nickel.

Keywords: Positron; Annihilation; Gold; Silver; Helium; Implantation

  • Nuclear Instruments and Methods in Physics Research B 178 (2001) 342

Permalink: https://www.hzdr.de/publications/Publ-4804


Positron annihilation lifetime study of pur and doped LiF

Sendezera, E. J.; Davidson, A. T.; Anwand, W.; Brauer, G.; Nicht, E.-M.

no abstract delivered from author

Keywords: kein

  • Contribution to external collection
    Materials Science Forum 363-365 (2001) 386

Permalink: https://www.hzdr.de/publications/Publ-4803


Pages: [1.] [2.] [3.] [4.] [5.] [6.] [7.] [8.] [9.] [10.] [11.] [12.] [13.] [14.] [15.] [16.] [17.] [18.] [19.] [20.] [21.] [22.] [23.] [24.] [25.] [26.] [27.] [28.] [29.] [30.] [31.] [32.] [33.] [34.] [35.] [36.] [37.] [38.] [39.] [40.] [41.] [42.] [43.] [44.] [45.] [46.] [47.] [48.] [49.] [50.] [51.] [52.] [53.] [54.] [55.] [56.] [57.] [58.] [59.] [60.] [61.] [62.] [63.] [64.] [65.] [66.] [67.] [68.] [69.] [70.] [71.] [72.] [73.] [74.] [75.] [76.] [77.] [78.] [79.] [80.] [81.] [82.] [83.] [84.] [85.] [86.] [87.] [88.] [89.] [90.] [91.] [92.] [93.] [94.] [95.] [96.] [97.] [98.] [99.] [100.] [101.] [102.] [103.] [104.] [105.] [106.] [107.] [108.] [109.] [110.] [111.] [112.] [113.] [114.] [115.] [116.] [117.] [118.] [119.] [120.] [121.] [122.] [123.] [124.] [125.] [126.] [127.] [128.] [129.] [130.] [131.] [132.] [133.] [134.] [135.] [136.] [137.] [138.] [139.] [140.] [141.] [142.] [143.] [144.] [145.] [146.] [147.] [148.] [149.] [150.] [151.] [152.] [153.] [154.] [155.] [156.] [157.] [158.] [159.] [160.] [161.] [162.] [163.] [164.] [165.] [166.] [167.] [168.] [169.] [170.] [171.] [172.] [173.] [174.] [175.] [176.] [177.] [178.] [179.] [180.] [181.] [182.] [183.] [184.] [185.] [186.] [187.] [188.] [189.] [190.] [191.] [192.] [193.] [194.] [195.] [196.] [197.] [198.] [199.] [200.] [201.] [202.] [203.] [204.] [205.] [206.] [207.] [208.] [209.] [210.] [211.] [212.] [213.] [214.] [215.] [216.] [217.] [218.] [219.] [220.] [221.] [222.] [223.] [224.] [225.] [226.] [227.] [228.] [229.] [230.] [231.] [232.] [233.] [234.] [235.] [236.] [237.] [238.] [239.] [240.] [241.] [242.] [243.] [244.] [245.] [246.] [247.] [248.] [249.] [250.] [251.] [252.] [253.] [254.] [255.] [256.] [257.] [258.] [259.] [260.] [261.] [262.] [263.] [264.] [265.] [266.] [267.] [268.] [269.] [270.] [271.] [272.] [273.] [274.] [275.] [276.] [277.] [278.] [279.] [280.] [281.] [282.] [283.] [284.] [285.] [286.] [287.] [288.] [289.] [290.] [291.] [292.] [293.] [294.] [295.] [296.] [297.] [298.] [299.] [300.] [301.] [302.] [303.] [304.] [305.] [306.] [307.] [308.] [309.] [310.] [311.] [312.] [313.] [314.] [315.] [316.] [317.] [318.] [319.] [320.] [321.] [322.] [323.] [324.] [325.] [326.] [327.] [328.] [329.] [330.] [331.] [332.] [333.] [334.] [335.] [336.] [337.] [338.] [339.] [340.] [341.] [342.] [343.] [344.] [345.] [346.] [347.] [348.] [349.] [350.]