Publications Repository - Helmholtz-Zentrum Dresden-Rossendorf

"Online First" included
Approved and published publications
Only approved publications

41421 Publications

Neutronendosimetrie im Bestrahlungsprogramm Rheinsberg II

Stephan, I.; Böhmer, B.

In einem mehrjährigen umfangreichen Bestrahlungsprogramm wurden in den Bestrahlungskanälen des Reaktors WWER-2 des KKW Rheinsberg Druckbehälterstahlproben bestrahlt. Das Programm diente der Erweiterung der Datenbasis zur Bewertung der Strahlenversprödung von Reaktordruckbehälterstählen. Zur Ermittlung der Neutronenbelastung wurden die Bestrahlungskapseln mit Neutronenaktivierungsdetektoren bestückt. Der Bericht enthält die Beschreibung des Typs, des Aufbaues und der Zusammensetzung der Neutronenfluenzmonitore, ihre Anordnung im jeweiligen Experiment, die Auswerteprozedur nach der Bestrahlung und gibt eine zusammenfassende Übersicht über die gemessenen und spektrumsjustierten Neutronenfluenzen für alle 8 Einzelexperimente des Bestrahlungsprogrammes Rheinsberg II. Die Ergebnisse der neutronendosimetrischen Messungen sind konsistent mit den Ergebnissen der Neutronenfeldrechnungen und ergeben in Verbindung mit diesen zuverlässigen Daten über die Neutronenbelastung der in den Bestrahlungsexperimenten neutronen-exponierten Materialproben.

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-360 November 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4960
Publ.-Id: 4960


Plasmonic structures fabricated by focused ion beams

Bischoff, L.; Schmidt, B.; Heinig, K.-H.; Müller, T.; Hellwig, S.

A mass selected focused ion beam is employed for the fabrication of plasmonic structures applying different techniques. So the local implantation of equidistant gold-dots of some ten nm diameter in a silicon or SiO2 target will be studied. Also the local growth of Au-dots after a FIB pre-treatment is a promising approach due to the low adhesion of Au on Si and SiO2 surfaces. Dots or columns can also be achieved by sputtering small holes in a thin film and filling them with noble metals. A new approach is the local ion beam synthesis of CoSi2 nano-structures after cobalt-FIB implantation and annealing. An additional possibility is the growth of metal structures in a FIB aided CVD process using a certain precursor metal-organic gas.

Keywords: Focused Ion Beam; Ion Beam Synthesis; Direct Patterning; FIB aided CVD

  • Poster
    International Workshop on Nanostructures for Microelectronics and Optics -NEOP- Oct. 6 - 9, 2002, Dresden, Germany
  • Contribution to proceedings
    International Workshop on Nanostructures for Microelectronics and Optics -NEOP- Oct. 6 - 9, 2002, Dresden, Germany

Permalink: https://www.hzdr.de/publications/Publ-4959
Publ.-Id: 4959


SiCOI Structures. Technology and Characterization

Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J. R.; Esteve, J.; Acero, M. C.; Kögler, R.; Skorupa, W.

An alternative approach for the fabrication of SiCOI material based on ion beam synthesis technique IBS is presented. Combining IBS with wafer bonding, high crystalline quality ß-SiC layers with low residual strain, have been successfully transferred onto oxidized Si wafers, obtaining SiCOI structure with abrupt SiC/SiO2 interfaces and low surface roughness.

Keywords: ion implantation; ion beam synthesis; SiC; wafer bonding

  • Contribution to external collection
    F. Balestra et al. (eds.) Progress in SOI Structures and and Devices Operating at Extreme Conditions, 17-29 2002 Kluwer Academic Publishers. Printed in the Netherlands

Permalink: https://www.hzdr.de/publications/Publ-4958
Publ.-Id: 4958


Ordering intermetallic alloys by ion irradiation: a way to tailor magnetic media

Bernas, H.; Halley, D.; Heinig, K.-H.; Attané, J.-P.; Ravelosona, D.; Marty, A.; Auric, P.; Chappert, C.; Samson, Y.

Combining He ion irradiation and thermal mobility below 600K, we both trigger and control the transformation from chemical disorder to order in thin films of an intermetallic ferromagnet (FePd). Kinetic Monte Carlo simulations show how the initial directional short range order determines order propagation. Magnetic ordering perpendicular to the film plane was achieved, promoting the initially weak magnetic anisotropy to the highest values known for FePd films. This post-growth treatment should find applications in ultrahigh density magnetic recording.

Keywords: ion irradiation; intermatallics; ferromagnetism; phase transition; FePd; kinetic Monte Carlo simulation

  • Phys. Rev. Lett. 91 (7): Art. No. 077203 AUG 15 2003

Permalink: https://www.hzdr.de/publications/Publ-4957
Publ.-Id: 4957


Materials Science with Ion Beams

Bernas, H.; Heinig, K.-H.; Williams, J.; Lindner, J. K. N.; (Editors)

Many recent conferences on ion beam processing of materials have concentrated on process optimisation, with comparatively less effort on understanding basic science features. It seemed timely to reverse this trend, and focus on ion beams as a tool for probing the basic materials processes and properties or for exploring the more fundamental aspects of nonequilibrium modifications induced by irradiation.

Keywords: ion beams; fundamentals; materials science

  • Book (Authorship)
    Nuclear Instruments and Methods in Physics Research B 178 (2001)

Permalink: https://www.hzdr.de/publications/Publ-4956
Publ.-Id: 4956


Ion Beam Synthesis and Processing of Advanced Materials

Moss, S. C.; Heinig, K.-H.; Poker, D. B.; (Editors)

Symposium O, "Ion Beam Synthesis and Processing of Advanced Materials",was held November 27-29 at the 2000 MRS Fall Meeting in Boston, Massachusetts, USA. 116 papers were presented in fourteen sessions including two poster sessions. The sessions were well attended and the discussion were lively.

Keywords: ion implantation; materials; processing

  • Book (Authorship)
    Mat.Res.Soc.Symp.Proc.647(2001) ISBN 1-55899-557-9

Permalink: https://www.hzdr.de/publications/Publ-4955
Publ.-Id: 4955


Züchtung und Zähmung von Nanostrukturen mit Ionenstrahlen

Heinig, K.-H.

Auf der Basis von Nanostrukturen gibt es völlig neue Funktionsprinzipien und
Bauelementekonzeptionen für die Elektronik und Optik. Es wird gezeigt, dass
der Ionenstrahl als etabliertes Werkzeug der Mikroelektronik auch bei der
Züchtung (Ionenstrahlsynthese) und Zähmung (Eigenschaftsänderung)
von Nanostrukturen ein technologiefreundliches Verfahren ist. Es müssen
Selbstorganisationsphänomene verstanden und eingesetzt werden, die bei der
Prozeßführung weitab vom thermodynamischen Gleichgewicht auftreten.
Hierzu gehören die inverse Ostwald-Reifung unter Ionenbestrahlung, die Ordnung von Präzipitaten bei der Phasenseparation und die Pearling-Instabilität. Zwei Anwendungen werden vorgestellt: Die Entwicklung eines nichtflüchtigen Halbleiterspeichers (nvRAM) und die Lichtleitung in Goldclusterketten für die Nanooptik (Plasmonics).

Keywords: ion beam synthesis; nanocluster memories; plasmonics; theory; phase separation

  • Lecture (others)
    Forschungszentrum Jülich, ISG, 29. August 2001

Permalink: https://www.hzdr.de/publications/Publ-4954
Publ.-Id: 4954


Process simulation of Ge nanocluster formation from GeO2 dots in SiO2

Heinig, K.-H.; Novikov, P. L.

The control of the MOSFET channel by nanoclusters within the gate oxide, which are charged/decharged due to direct e--tunneling, provides a conceptually very simple non-volatile memory. The development of reliable processes for the production of the required nanocrystals on an industrial scale is a big challenge to current research. The two processes being favored at present are the self-organization of nanocluster-delta-layers after ion implantation [1] and the deposition of Si aerosols [2]. Here, we present an alternative process taking advantage of the well-known self-assembling of Ge quantum dots on (001)Si [3,4] in combination with chemical reduction of GeO2 by Si during thermal treatment [5]. Using process simulations it will be studied, how, in comparison to pure thermal treatment, ion-irradiation induced detachment of Si monomeres from the Si/SiO2 interface accelerates the Ge precipitation due to the GeO2+Si --> SiO2+Ge reaction. For our study it was assumed that self-assembled Ge nanoclusters on Si [3,4] were covered by a Si layer, and, finally, oxidized under special conditions [5] which suppresses Ge segregation. The resulting thin gate oxide with embedded GeO2 clusters is the starting point of our kinetic Monte-Carlo simulations. In an fcc-cell of about 256000 lattice points having periodic boundary conditions in the interface plane, Ising-model-like interactions in the two-component system (Si and Ge) and the reaction GeO2+Si-->SiO2+Ge are taken into account. Additionally, according to ion beam mixing estimated by TRIM calculations, atomic displacements of Si bulk and nanocluster atoms have been considered.
[1] K.H.Heinig, B.Schmidt,.M.Strobel, H.Bernas, Mat.Res.Soc.Proc. v.650(2001).
[2] H. Atwater, J.W. De Blauwe et al., Mat.Res.Soc.Proc. v.638(2001).
[3] F. Jensen, J.W. Petersen, S.Y. Shiryaev, A.N. Larsen, Nanotechn. 7, 117 (1996).
[4] O.Pchelyakov, Y.Bolkhovityanov, A.Dvurechenskii, Thin Solid Films 367, 75 (2000).
[5] Y.-C.King, T.-J.King, C.Hu, Int.Electron Dev. Meeting Technical Digest (1998), 115.

Keywords: nanocluster; Ge; memory; ion irradiation; atomistic simulation

  • Lecture (others)
    EC project meeting NEON, Uni Aarhus, Denmark, August 19-21, 2001

Permalink: https://www.hzdr.de/publications/Publ-4953
Publ.-Id: 4953


Simulation of ion-irradiation stimulated Ge nanocluster formation in gate oxides containing GeO2

Heinig, K.-H.; Novikov, P. L.; Larsen, A. N.; Dvurechenskii, A. V.

The control of the MOSFET channel by nanoclusters within the gate oxide, which are charged/decharged due to direct e--tunneling, provides a conceptually very simple non-volatile memory. The development of reliable processes for the production of the required nanocrystals on an industrial scale is a big challenge to current research. The two processes being favored at present are the self-organization of nanocluster-delta-layers after ion implantation [1] and the deposition of Si aerosols [2]. Here, we present an alternative process taking advantage of the well-known self-assembling of Ge quantum dots on (001)Si [3,4] in combination with chemical reduction of GeO2 by Si during thermal treatment [5]. Using process simulations it will be studied, how, in comparison to pure thermal treatment, ion-irradiation induced detachment of Si monomeres from the Si/SiO2 interface accelerates the Ge precipitation due to the GeO2+Si --> SiO2+Ge reaction. For our study it was assumed that self-assembled Ge nanoclusters on Si [3,4] were covered by a Si layer, and, finally, oxidized under special conditions [5] which suppresses Ge segregation. The resulting thin gate oxide with embedded GeO2 clusters is the starting point of our kinetic Monte-Carlo simulations. In an fcc-cell of about 256000 lattice points having periodic boundary conditions in the interface plane, Ising-model-like interactions in the two-component system (Si and Ge) and the reaction GeO2+Si-->SiO2+Ge are taken into account. Additionally, according to ion beam mixing estimated by TRIM calculations, atomic displacements of Si bulk and nanocluster atoms have been considered.
[1] K.H.Heinig, B.Schmidt,.M.Strobel, H.Bernas, Mat.Res.Soc.Proc. v.650(2001).
[2] H. Atwater, J.W. De Blauwe et al., Mat.Res.Soc.Proc. v.638(2001).
[3] F. Jensen, J.W. Petersen, S.Y. Shiryaev, A.N. Larsen, Nanotechn. 7, 117 (1996).
[4] O.Pchelyakov, Y.Bolkhovityanov, A.Dvurechenskii, Thin Solid Films 367, 75 (2000).
[5] Y.-C.King, T.-J.King, C.Hu, Int.Electron Dev. Meeting Technical Digest (1998), 115.

Keywords: nanocluster; Ge; memory; ion irradiation; atomistic simulation

  • Poster
    11th Int.Conf.Radiation Effects in Insulators, Lisbon, Portugal, Sept 3-7, 2001

Permalink: https://www.hzdr.de/publications/Publ-4952
Publ.-Id: 4952


Tailoring the size and size distribution of nanoclusters through ion irradiation

Heinig, K.-H.; Schmidt, B.; Müller, T.; Mücklich, A.

Nanoclusters (N) can be generated by ion implantation of impurity atoms into a host matrix and subsequent phase separation of the impurity phase from the matrix during annealing. This is a CMOS technology compatible process, which has great potential for applications. However, a serious problem arises: The NCs have a broad size distribution, which obscures the size dependent characteristics of the NCs.
Here, we show that irradiation of NCs with high-energy ions can be used to overcome this problem. During irradiation, the collisional mixing at the NC-matrix interfaces competes with phase separation. A negative interface tension can be the consequence, which can only be understood in terms of non-equilibrium thermodynamics. The negative interface tension leads to unexpected results: The system will evolve towards a state of maximal surface area!! Thus, a flat interface
becomes instable. Here, we focus on the Gibbs-Thomson relation with a negative interface tension, where we find an increasing solute concentration with increasing NC size. This is inverse to equilibrium behavior. Consequently, we find inverse Ostwald-ripening, e.g. small NCs grow at the expense of large ones. This can be used for the fabrication of monodisperse NCs. These theoretical predictions have been verified by kinetic MC simulations and by ion irradiation of Au NCs in a SiO2 matrix.

Keywords: ion irradiation; inverse Ostwald ripening; theory; XTEM; atomistic simulation

  • Poster
    DPG Jahreshaupttagung, Hamburg, 26.-30. März 2001

Permalink: https://www.hzdr.de/publications/Publ-4951
Publ.-Id: 4951


Nanocluster formation: Atomistic simulations and impact of atmospheric humidity

Heinig, K.-H.; Schmidt, B.; Müller, T.

no abstract delivered frm author

Keywords: ion implantation; Ge and Si nanocluster formation; damaged oxide; moisture penetration

  • Lecture (others)
    EC project meeting NEON, CEMES/CNRS, Toulouse, France, Jan 31 -Feb 4, 2001

Permalink: https://www.hzdr.de/publications/Publ-4950
Publ.-Id: 4950


Nanostructures by Phase Separation in Ion-Irradiated Materials

Heinig, K.-H.

We aim at a deeper understanding of how thermodynamic systems that have been driven far-from-equilibrium by ion implantation or irradiation may either relax towards equilibrium (in which case self-organisation phenomena are observed frequently), or - alternatively - be driven into stationary nonequilibrium states (where dissipative structures may develop). The far-from-equilibrium states having a high supersaturation and/or specific interface energy relax by nucleation, growth and coarsening. The stationary states are driven by irradiation damage (dpa/sec) and shows new phenomena like inverse Ostwald ripening. Kinetic 3D lattice Monte Carlo simulations were applied succesfully to predict routes of far-from-equilibrium processing of tailored quantum dots and wires for electronic and photonic applications.

Keywords: Phase separation; ion implantation; theory; Monte Carlo simulations; nanostructures

  • Invited lecture (Conferences)
    invited talk: Int. Workshop on Modelling Materials (CECAM), Lyon, France, Sept 18-21, 2001

Permalink: https://www.hzdr.de/publications/Publ-4949
Publ.-Id: 4949


Effects of ion irradiation on metal and semiconductor nanostructures in SiO2

Heinig, K.-H.; Schmidt, B.; Müller, T.; Bernas, H.

Metal and semiconductor nanostructures embedded in insulators, in particular in SiO2, attract at present much interest due to their potential application in microelectronics (nanocluster memories), optoelectronics (luminescence from Si nanocrystals) and photonics (plasmonic devices using Au nanoclusters). The nanostructures can be synthesized by ion implantation and modified by ion irradiation. Thereby, radiation effects in the matrix as well as in the nanostructures induce self-organisation phenomena, which might allow to control, e.g., the spatial and size distribution of nanoclusters.
Two different regimes of self-organization will be discussed: (i) Relaxation regime: Ion implantation of impurity atoms may produce extremely supersaturated solid solutions. During subsequent annealing this far-from-equilibrium state relaxes towards equilibrium by phase separation (nucleation, growth) and minimization of interface energy (Ostwald ripening, coalescence). Self-organization phenomena (e.g. pattern formation during phase separation, Rayleigh or pearling instability during interface minimization) have been found. (ii) Driven system: Interfaces or compounds like SiO2 can be driven into a steady state far from equilibrium by ion irradiation. The steady state is established by a competition between collisional mixing, diffusion and re-formation of the compound. For driven systems a theoretical study and atomistic computer simulations of radiation effects like the nanocluster d-layer formation and ''inverse'' Ostwald ripening will be presented.

Keywords: Ion irradiation; inverse Ostwald ripening; SiO2; nanoclusters

  • Invited lecture (Conferences)
    invited talk: 11th Int.Conf.Radiation Effects in Insulators, Lisbon, Portugal, Sept 3-7, 2001

Permalink: https://www.hzdr.de/publications/Publ-4948
Publ.-Id: 4948


Inverse Ostwald ripening and pearling instability: Two examples of far-from-equilibrium processing of nanoclusters

Heinig, K.-H.; Schmidt, B.; Müller, T.; Bernas, H.

no abstract delivered from author

Keywords: nanocluster evolution; ion irradiation; Monte Carlo simulation; theory; XTEM; interface energy minimization

  • Invited lecture (Conferences)
    invited talk: Gordon Research Conference, Meriden, NH, USA, July 1 - 6, 2001

Permalink: https://www.hzdr.de/publications/Publ-4947
Publ.-Id: 4947


Three-dimensional domain growth on the size scale of the capillary length: Effective growth exponent and comparative atomistic and mean-field simulations

Strobel, M.; Heinig, K.-H.; Möller, W.

The evolution of diffusively interacting nanoclusters is investigated by combined atomistic (kinetic lattice Monte Carlo method based on the nearest-neighbor Ising model) and mean-field (numerical integration of the governing reaction-diffusion equations) simulations. By expressing Monte Carlo parameters in terms of macroscopic thermodynamic quantities a well-defined interface between both methods is derived. Based on extensive Monte Carlo studies of the Gibbs-Thomson equation an explicit expression for the intrinsic capillary length is presented. Starting with
high-temperature quenches, the evolution of nanoclusters is first studied by the atomistic model. The observed transient dynamics of coarsening is explained uniquely on the basis of the ratio of the capillary length to the mean cluster size. Using input data from the atomistic model, Ostwald ripening is also studied in parallel with the mean-field model. In a detailed study, the similarities and differences of both approaches are discussed and explained in terms of their statistical and deterministic natures. It is demonstrated that in contrast to the commonly applied linearized version of the Gibbs-Thomson relation in the mean-field approach only the use of the full exponential form provides a reasonable matching with the atomistic model.

Keywords: theory; Monte Carlo simulation; Ostwald ripening; nanocluster formation

  • Phys.Rev. B 64 (2001) 245422

Permalink: https://www.hzdr.de/publications/Publ-4946
Publ.-Id: 4946


Oxidation of Ge implanted into SiO2 layers: Modeling and XPS

Borodin, V. A.; Heinig, K.-H.; Schmidt, B.; Oswald, S.

During annealing at 950°C in an oxidizing ambient, the redistribution of Ge in Ge+-implanted SiO2 layer is influenced by the germanium oxidation. Crystalline clusters precipitate immediately after sample heating. During the annealing an oxidation front proceeds into the layer, consuming crystalline clusters and leaving behind glassy precipitates barely visible by XTEM. Sputtering depth profiling in conjunction with the X-ray photoelectron spectroscopy (XPS) analysis was applied in order to identify the chemical state of both the precipitated Ge and that dissolved in the silicon dioxide matrix. For a reliable interpretation of the measured data, modeling of the physical processes involved in the depth profiling XPS technique was performed. It is shown that the depth profiling by ion beam sputtering causes collisional mixing of the subsurface region, which modifies the XPS signal. The results indicate possible improvement of the depth profiling XPS method to be used in future experiments.

Keywords: Germanium; Oxidation; Silicon dioxide; X-ray photoelectron spectroscopy; Modeling

  • Nuclear Instruments and Methods in Physics Research B 178 (2001) 115-119

Permalink: https://www.hzdr.de/publications/Publ-4945
Publ.-Id: 4945


Dependence of nitrogen incorporation on beam energy during ion nitriding of Al

Telbizova, T.; Chevolleau, T.; Möller, W.

Ion nitriding of Al has been performed by a nitrogen reactive ion beam using a hot filament ion source. The N incorporation has been determined at different beam voltage from 0.4 kV to 2.4 kV with an ion current density of 0.2 mA/cm2, and a substrate temperature of 350°C. For this purpose, the beam has been characterised in terms of ion flux, ion composition and energy distribution using an energy selective mass spectrometer and a Faraday cup. From the beam parameters, N loss due to sputtering and backscattering has been calculated as a function of the ion energy using dynamic binary collision computer simulations. The total amount of incorporated N measured by Nuclear Reaction Analysis (NRA) is consistent with the incident N fluence and the N loss obtained from the simulation. A discrepancy is observed for a beam voltage lower than 0.8 kV due to the stationary surface oxide layer reducing the rate of nitrogen incorporation during ion nitriding of Al.

Keywords: ion source; sputtering yield; Al; AlN

  • Poster
    Int. Conf. on Plasma Based Ion Implantation, Grenoble, France, Jun. 25-28, 2001

Permalink: https://www.hzdr.de/publications/Publ-4944
Publ.-Id: 4944


Einfluss der Gammastrahlung auf die Schädigung von Druckbehältermaterialien und auf reaktordosimetrische Messungen

Böhmer, B.; Konheiser, J.; Kumpf, H.; Noack, K.; Vladimirov, P.

Die Strahlenversprödung von Druckbehältermaterialien heutiger Kernreaktoren, die durch die anliegenden Neutronen- und Gammafelder verursacht wird, ist meistens durch die Neutronen dominiert. Durch experimentelle Befunde ist aber belegt, dass unter bestimmten Umständen die Gammastrahlung nicht zu vernachlässigen ist und sogar den Hauptbeitrag liefern kann. Die Materialforschung konnte bis heute nicht klären, mit welcher Effektivität beide Strahlungsarten zur Versprödung beitragen.
Im Bericht sind die wesentlichen Ergebnisse, die im Vorhaben Nr. 150 1221 der Reaktorsicherheitsforschung erziellt wurden, dargelegt. Es hatte das Ziel, den aktuellen Kenntnisstand der Materialforschung aufzuarbeiten und auf dessen Grundlage für jeweils zwei russische und deutsche Reaktortypen den Einfluss der Gammastrahlung auf die Versprödung ihrer Druckbehälter abzuschätzen. Die notwendigen Neutronen/Gamma-Fluenzberechnungen wurden mit den SN-Programmen DORT/ANISN unter Verwendung der Gruppendatenbibliothek
BUGGLE-96T und mit dem Monte-Carlo-Code MCNP4C mit den Kerndaten aus der Bibliothek ENDF/B-VI Rev. 3 durchgeführt. Beide Programm- und Datenpakete stellen den heutigen Entwicklungsstand der reaktordosimetrischen Fluenzberechnungen dar. Aus den erzielten Ergebnissen wurden die Konsequenzen für die Bewertung der Druckbehälterversprödungen abgeleitet, Fehlerbetrachtungen dazu durchgeführt und der Einfluss der Gammastrahlung auf reaktordosimetrische Messungen ausgewiesen.

Keywords: Reaktorsicherheitsforschung; Kernreaktor; Reaktordosimetrie; Materialforschung; Druckbehälter; Strahlungsversprödung; Neutronenstrahlung; Gammastrahlung; Kerndaten

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf, FZR-356 Oktober 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4943
Publ.-Id: 4943


Phase analysis on ion treated surfaces by conversion electron Mössbauer spectroscopy.

Reuther, H.

Phase analysis on ion treated surfaces by conversion electron
Mössbauer spectroscopy

  • Lecture (others)
    Vortrag am Instituto Nacional de Pesquisas Espaciais (The National Institute for Space Research) INPE, São José dos Campos, Brasilien,4. Okt. 2002

Permalink: https://www.hzdr.de/publications/Publ-4942
Publ.-Id: 4942


Electron microscopy in the Research Center Rossendorf

Reuther, H.

Electron microscopy in the Research Center Rossendorf

  • Lecture (others)
    Vortrag am Instituto Nacional de Pesquisas Espaciais (The National Institute for Space Research) INPE, São José dos Campos, Brasilien, 9. Okt.2002

Permalink: https://www.hzdr.de/publications/Publ-4941
Publ.-Id: 4941


Surface investigations by Auger electron and X-ray induced photoelectron spectroscopy

Reuther, H.

Surface investigations by Auger electron and X-ray induced
photoelectron spectroscopy

  • Lecture (others)
    Vortrag am Instituto Nacional de Pesquisas Espaciais (The National Institute for Space Research) INPE, São José dos Campos, Brasilien, 3. Okt. 2002

Permalink: https://www.hzdr.de/publications/Publ-4940
Publ.-Id: 4940


Experiments and modelling of air/water flows in vertical pipes

Lucas, D.

Experimental results and a model for the prediction of the transition from bubble to slug flow within a vertical pipe are presented. The mechanism of the transition is discussed. Local effects as well as the bubble size distribution have to be considered for an appropriate modelling of the development of the flow pattern.

  • Lecture (Conference)
    Abschlußkolloquium des DFG-Schwerpunktprogramms "Analyse, Modellbildung und Berechnung von mehrphasigen Strömungen", Freyburg/Unstrut, Germany, 23.-25. September 2002

Permalink: https://www.hzdr.de/publications/Publ-4939
Publ.-Id: 4939


Transient flow maps for vertical pipe flow

Lucas, D.

Experimental results concerning the development of the flow pattern for air-water flow in a vertical pipe of 51.2 mm inner diameter are presented. The data were obtained by a wire-mesh sensor. This allows a high resolution in space and time. 99 combinations of air and water superficial velocities were investigated in up to 10 different distances between the gas injection device and the sensor.

  • Lecture (Conference)
    5th Workshop on Measurement Techniques for Steady and Transient Multiphase Flows, Dresden, Germany, September 18-20, 2002

Permalink: https://www.hzdr.de/publications/Publ-4938
Publ.-Id: 4938


Dendritic encapsulation of 99mTc and 188Re species

Stephan, H.; Drews, A.; Pietzsch, H.-J.; Schiller, E.; Spies, H.; Johannsen, B.; Gloe, K.; Stute, S.; Appelhans, D.; Müller, H.; Voit, B.; Osswald, F.; Vögtle, F.

A series of different dendrons for the encapsulation of 99mTc and 188Re have been synthesised, "3+1" mixed ligand 99mTc complexes with dendritic polyether thiols as monodendate ligands have been prepared. Challenge experiments with glutathione showed a remarkable enhancement of stability with increasing number of dendron generation. To achieve better solubility behaviour oligoethyleneoxy- and sugar-substituted dendrons are developed. In this case 2-aminobenzenethiol is introduced as chelating unit. Molecular modeling calculations point to an almost complete shielding of the radionuclide by use of second generation dendrons.

Keywords: 2-aminobenzenethiole; dendrimers; dendrons; encapsulation; rhenium

  • Poster
    6th International Symposium on Technetium in Chemistry and Nuclear Medicine, Bressanone/I, 04.-07.09.2002
  • Contribution to external collection
    In: Technetium, Rhenium and Other Metals in Chemistry and Nuclear Medicine (Edited by Nicolini M., Mazzi U.) SGEditoriali Padova 2002, 267-269

Permalink: https://www.hzdr.de/publications/Publ-4936
Publ.-Id: 4936


In situ diagnostics of stress evolution and relaxation at BN deposition by IBAD

Kolitsch, A.

Boron nitride films are grown by ion beam assisted deposition (IBAD). Si cantilevers are used as substrates. Intrinsic stress data are derived from dynamic simultaneous measurement of cantilever bending and film thickness during growth. The cantilever bending was measured with a two-beam laser deflection system for real time measurement of the stress in thin films during processing. The curvature of the cantilever, laser reflectivity, quartz thickness monitoring and real time spectroscopic ellipsometry data are recorded simultaneously. Instantaneous stress knowledge with a depth resolution in the nanometer scale provide detailed information on growth processes. The layer sequence of interfacial turbostratic BN layer/mixed (t-BN+c-BN) transition layer/cubic BN can be clearly identified in the instantaneous stress data. The measured stress depends sensitively on fluctuations in the boron evaporation rate which in turn cause variations in the ion/atom arrival ratio. The global stress in turbostratic and cubic boron nitride films is studied during annealing up to 670°C. It is observed that the stress relaxation starts when the deposition temperature is exceeded. It is demonstrated that the intrinsic stress of cubic boron nitride films can be relaxed during growth by simultaneous medium energy implantation. For simultaneous implantations with an ion energy of several keV it is shown that the stress in the growing film can be reduced below 2 GPa, resulting in high quality c-BN.

Keywords: boron nitride; stress relaxation; ion beam assisted deposition

  • Lecture (others)
    eingeladener Vortrag am 14.9.2001 im KFKI Budapest

Permalink: https://www.hzdr.de/publications/Publ-4935
Publ.-Id: 4935


Bioactivity of small technetium complexes

Johannsen, B.; Pietzsch, H.-J.

Early evidence that small technetium compounds may be subject to active transport processes was provided by the historical serependipitous finding that pertechnetate was handled by the sodium-iodide symporter in the thyroid gland. The feasibility of using biochemical 99mTc probes for various targets such as enzymes and particularly receptors is due to the tolerance of the target molecules towards metal-based mimics. As the high in-vitro affinities to various neuroreceptors in the nanomolar and subnanomolar range indicate, molecular recognition of complex technetium molecules has become possible. One main issue in developing CNS receptor imaging agents remains the very low or totally absent brain uptake.
The general approach to specific small technetium radiopharmaceutical tracers has not changed much in recent years. Despite substantial progress in technetium chemistry, the number of newly launched 99mTc radiopharmaceuticals is stagnant, at least in a short-term perspective. The development of biochemically specific, small technetium and rhenium complexes remains therefore a challenging, rewarding and often frustrating activity.

Keywords: review; technetium complexes; bioactivity; enzyme substrates; receptor binding; active transport

  • Lecture (Conference)
    6th International Symposium on Technetium in Chemistry and Nuclear Medicine, Bressanone/I, 04.-07.09.2002
  • Contribution to external collection
    In: Technetium, Rhenium and Other Metals in Chemistry and Nuclear Medicine (Edited by Nicolini M., Mazzi U.) SGEditoriali Padova 2002, 273-283

Permalink: https://www.hzdr.de/publications/Publ-4934
Publ.-Id: 4934


XPS characterization of the composition and bonding states of elements in CN layers prepared by ion beam assisted deposition x

Kolitsch, A.; Ujvari, T.; Toth, A.; Mohai, M.; Bertoti, I.

CN layers were gr own on polished Si (100 )wafers by the ion beam assisted deposition (IBAD technique at temperatures varying between 200 and 600°C . A Kaufman type ion source fed by Ar and N2 was applied together with an e-beam heated evaporation source of graphite for the deposition of the CN layers.The composition and chemical bonding state of elements were studied by X-rayphotoelectron spectroscopy.The N-content varied in the range of 8 –16 at.% and showed a decrease with the increase of deposition temperature.The broad C1s and N1s XPS lines manifested several bonding states.The elative intensities of the component peaks varied with the preparation conditions.The two main components of the N1s peak situated at BE s 398.2 eV and 400.6 eV were assigned to sp2 (C –N =C )and sp3 (N –C )type bonding states,espectively.The stability of the sp3 states was higher than that of the sp2 ones, because the intensityof the 398.2 eV component decreased preferentially with increasing deposition temperature.A post-deposition treatment with low energy ion beam resulted in a significant increase in N2 + the overall N-content on the surface,with a preferential increase in the concentration of sp2 type nitrogen.

Keywords: CNx; Ion beam assisted deposition; Nitrides; X-Ray photoelectron spectroscopy

  • Diamond and Related Materials 11 (2002 )1149-52

Permalink: https://www.hzdr.de/publications/Publ-4933
Publ.-Id: 4933


Real time in situ stress measurements of ion beam assisted deposition of BN thin films

Kolitsch, A.; Fitz, C.; Fukarek, W.; Möller, W.

Boron nitride films are grown by ion beam assisted deposition (IBAD). Si cantilevers are used as substrates. Intrinsic stress data are derived from dynamic simultaneous measurement of cantilever bending and film thickness during growth. The cantilever bending was measured with a two-beam laser deflection system for real time measurement of the stress in thin films during processing. The curvature of the cantilever, laser reflectivity, quartz thickness monitoring and real time spectroscopic ellipsometry data are recorded simultaneously. Instantaneous stress knowledge with a depth resolution in the nanometer scale provide detailed information on growth processes. The layer sequence of interfacial turbostratic BN layer/mixed (t-BN+c-BN) transition layer/cubic BN can be clearly identified in the instantaneous stress data. The measured stress depends sensitively on fluctuations in the boron evaporation rate which in turn cause variations in the ion/atom arrival ratio. The global stress in turbostratic and cubic boron nitride films is studied during annealing up to 670°C. It is observed that the stress relaxation starts when the deposition temperature is exceeded. It is demonstrated that the intrinsic stress of cubic boron nitride films can be relaxed during growth by simultaneous medium energy implantation. For simultaneous implantations with an ion energy of several keV it is shown that the stress in the growing film can be reduced below 2 GPa, resulting in high quality c-BN.

Keywords: boron nitride; BN; stress relaxation; in-situ diagnostics

  • Invited lecture (Conferences)
    E-MRS, Juni 5-8, 2001, Strasbourg, Frankreich

Permalink: https://www.hzdr.de/publications/Publ-4932
Publ.-Id: 4932


Design and biological evaluation of 99mTc-ligands derived from WAY 100,635 and D.WAY for serotonin-5-HT1A and alpha1-adrenergic receptor binding

Pietzsch, H.-J.; Drews, A.; Heimbold, I.; Kretzschmar, M.; Seifer, S.; Syhre, R.; Johannsen, B.; Varnäs, K.; Hall, H.; Halldin, C.; Karlsson, P.; Johnsson, C.

Novel Tc-labelled receptor ligands for the serotonin 5HT1A receptor have been synthesized and biologically evaluated. The complexes consist of a Tc chelate unit with the metal at the oxidation state +5 or +3 and 1-(2-methoxyphenyl)-piperazine or 2-(1-piperazino)phenol as receptor-targeting domain. Tc chelate and receptor targeting moiety are linked by an alkylspacer of various chain length. Re was used as Tc surrogate for complete chemical characterization and in vitro receptor-binding studies. All complexes display subnanomolar affinities for the 5-HT1A receptor but also high affinities for the alpha1-adrenergic receptor. Biodistribution studies in rats show initial brain uptakes between 0.2% ID and 0.6% ID five minutes post injection. In vitro autoradiographic studies in rat brain and post-mortem human brain indicate the accumulation of the Tc-99m complexes in areas which are rich in 5-HT1A receptors and additionally in areas rich in alpha1-adrenergic receptors.

Keywords: technetium receptor ligands; serotonin-5HT1A receptor; alpha1-adrenergic receptor; WAY 100; 635 derivatives; desmethyl-WAY derivative

  • Lecture (Conference)
    6th International Symposium on Technetium in Chemistry and Nuclear Medicine, Bressanone/I, 04.-07.09.2002
  • Contribution to external collection
    In: Technetium, Rhenium and Other Metals in Chemistry and Nuclear Medicine (Edited by Nicolini M., Mazzi U.) SGEditoriali Padova 2002, 329-334

Permalink: https://www.hzdr.de/publications/Publ-4931
Publ.-Id: 4931


Comparison of tumor and bone uptake of 99mTc(V)DMSA and 99mTc(V)DMS ester complexes in tumor-bearing nude mice

Seifert, S.; Syhre, R.; Zips, D.; Spies, H.; Johannsen, B.

The uptake of the 99mTc(V) DMS ester complexes [99mTcO(DMSA/DMSEt)]- (B), [99mTcO(DMSEt)2]- (C), and [99mTcO(DMSA/DMSEt2)]- (D) in comparison with 99mTcO(DMSA)2]- (A) by tumour-bearing nude mice was evaluated. From former biodistribution studies was known, that 99mTc(V)DMSA already loses its bone affinity when one ester group is introduced into the complex molecule. Now we found that the tumour uptake is maintained also in complexes which contain one or two non-hydrolysable ester functions. Preliminary biodistribution studies showed similar uptake into the human squamous cell carcinoma (FaDu) as well as into the human colonic cell carcinoma (HT29) of nude mice. The low bone accumulation of B, C and D results in excellent tumour to bone ratios of approx. 3:1 for the ester complexes compared to approx. 1:2 for complex A.

Keywords: technetium; rhenium; DMS ester complexes; tumour

  • Poster
    6th International Symposium on Technetium in Chemistry and Nuclear Medicine, Bressanone/I, 04.-07.09.2002
  • Contribution to external collection
    In: Technetium, Rhenium and Other Metals in Chemistry and Nuclear Medicine (Edited by Nicolini M., Mazzi U.) SGEditoriali Padova 2002, 415-417

Permalink: https://www.hzdr.de/publications/Publ-4930
Publ.-Id: 4930


Uranium speciation in plants

Günther, A.; Bernhard, G.; Geipel, G.; Reich, T.; Roßberg, A.; Nitsche, H.

Detailed knowledge of the nature of uranium complexes formed after the uptake by plants is an essential prerequisite to describe the migration behavior of uranium in the environment. This study focuses on the determination of uranium speciation after uptake of uranium by lupine plants. For the first time, time-resolved laser-induced fluorescence spectroscopy and X-ray absorption spectroscopy were used to determine the chemical speciation of uranium in plants. Differences were detected between the uranium speciation in the initial solution (hydroponic solution and pore water of soil) and inside the lupine plants. The oxidation state of uranium did not change and remained hexavalent after it was taken up by the lupine plants. The chemical speciation of uranium was identical in the roots, shoot axis, and leaves and was independent of the uranium speciation in the uptake solution. The results indicate that the uranium is predominantly bound as uranyl(VI) phosphate to the phosphoryl groups. Dandelions and lamb's lettuce showed uranium speciation identical to lupine plants.

Keywords: uranium; plant; speciation; complexation

  • Radiochimica Acta 91 (2003), 319-328

Permalink: https://www.hzdr.de/publications/Publ-4929
Publ.-Id: 4929


Mechanical properties and characterisation of very thin CNx films synthesised by an IBAD process

Malkow, T.; Arce-Garcia, I.; Kolitsch, A.; Schneider, D.; Bull, S. J.; Page, T. F.

Nanoindentation techniques have been used to investigate the mechanical properties such as hardness and Young's modulus of carbon nitride films deposited on Si (111) substrates using Ion Beam Assisted Deposition (IBAD) process with varying the deposition parameter. For the lower and higher deposition temperatures, two different types of load displacements behaviour of the loading regime has been found. At a certain temperature limit, the elastic modulus values are dropping significantly down. To estimate the film-only properties of these composites, a simple energy model has been used. For comparison, a Hertzian contact analysis of the load-displacement curves at low loads and direct modulus measurements by laser sound velocity technique were performed. The film thickness was estimated using optical profilometry and the nitrogen content of these films was determined using Electron Energy Loss Spectroscopy (EELS), X-ray induced Photoelectron Spectroscopy (XPS) and Elastic Recoil Detection Analysis (ERDA) techniques. The highest nitrogen content at deposition temperatures between 200 and 400 °C occurs for a Nitrogen-to-Carbon (N/C) transport ratio of 1.2. The film microstructure investigated, using Transmission Electron Microscopy/Selected Area Electron Diffraction (TEM/SAD) exhibits more crystallinity at higher deposition temperatures.

Keywords: carbon nitride; CNx; ion beam assisted deposition; IBAD; nanoindentation; hardness; elastic modulus

  • Diamond and Related Materials 10 (2001) 2199

Permalink: https://www.hzdr.de/publications/Publ-4928
Publ.-Id: 4928


Technetium- and rhenium-labelled fatty acids as model compounds for myocardial metabolism imaging

Jung, C. M.; Heintz, A.; Kraus, W.; Leibnitz, P.; Wunderlich, G.; Pietzsch, H.-J.; Kropp, J.; Deussen, A.; Spies, H.

In an attempt to develop new technetium-based radiopharmaceuticals for the non-invasive diagnosis of oxidative myocardial metabolism, rhenium model compounds according to the ‚3+1' mixed ligand approach as well as the organometallic tricarbonyl-design were synthesized. The geometrical impact of different chelates on the integrity of the fatty acid head structure was determined by single crystal X-ray analyses. To evaluate the diagnostic potential of the analogous Technetium-99m compounds, fatty acid complexes of the ‚3+1' mixed ligand type were prepared on n.c.a.-level and studied in the isolated constant-flow-perfused guinea pig heart model; compared to established [123I]Iodine-labelled fatty acid radiotracers, the tested Technetium-99m derivatives showed a specific, however significantly lower myocardial extraction rate.

Keywords: Fatty acids; Metabolism; Myocardial Imaging; Rhenium; Technetium-99m

  • Poster
    6th International Symposium on Technetium in Chemistry and Nuclear Medicine, Bressanone/I, 04.-07.09.2002
  • Contribution to external collection
    In: Technetium, Rhenium and Other Metals in Chemistry and Nuclear Medicine (Edited by Nicolini M., Mazzi U.) SGEditoriali Padova 2002, 443-445

Permalink: https://www.hzdr.de/publications/Publ-4927
Publ.-Id: 4927


A modified ion sputter source with increased lifetime

Friedrich, M.; Tyrroff, H.

The sputter ion source HVEE 860-C has shown after an operation time of some thousands hours significant erosion of inner source parts. This is caused by Cs ions generated at hot surfaces outside of the spherical ioniser surface.
The calculated trajectories of these ions and the erosion patterns show an excellent correspondence. The suppression of the disturbing ions has resulted in increased lifetimes of the ion source and an improved focus of the primary Cs ions on the sputter target.

Keywords: Ion sources; Electrostatic accelerators

Permalink: https://www.hzdr.de/publications/Publ-4926
Publ.-Id: 4926


Application of the Master Curve Approach for Dynamically Loaded Pressure Vessel Steels

Böhmert, J.; Viehrig, H.-W.; Gokhman, A.

The master curve approach is a new concept for a fracture mechanics-based integrity assessment of pressurized engineering construction. It permits the determination of fracture toughness properties with small-size specimens under quasi-static loading conditions. The transfer of the quasi-static master curve approach to dynamical loading like Chapry impact testing would be expedient but is not trivial. In principle, the master curve approach seems to be applicable for dynamic loading. The detailed statistical analysis of the results, however, shows several shortcomings. The test temperature affects significantly the reference temperature, To. With small Charpy-size specimens valid values are only obtained if tested within the upper part of the lower shelf region. Approximately, the experimental results are compatible to the Weibull-distribution of the master curve concept.

  • Poster
    ECF 14: Fracture Mechanics Beyond 2000, Volume I/III, Editors: A. Neimitz, I.V. Rokach, D. Kocanda, K. Golos, Proceedings of the 14th Bienniel Conference on Fracture ECF 14, 8.-13.09.2002, Cracow, Poland, p. 281
  • Contribution to proceedings
    ECF 14: Fracture Mechanics Beyond 2000, Volume I/III, Editors: A. Neimitz, I.V. Rokach, D. Kocanda, K. Golos, Proceedings of the 14th Bienniel Conference on Fracture ECF 14, 8.-13.09.2002, Cracow, Poland, p. 281

Permalink: https://www.hzdr.de/publications/Publ-4925
Publ.-Id: 4925


Synchrotron studies of implanted InxGa1-xAs

Wieteska, K.; Wierzchowski, W.; Graeff, W.; Turos, A.; Grötzschel, R.

MOCVD grown epitaxial layers of In0.53Ga0.47As/InP were implanted with 1.5 MeV Se ions or 1.0 MeV Si ions at room temperature and at liquid nitrogen temperature. A wide range of ion doses exceeding 10(15) ions/cm(2) was applied. White beam synchrotron section and projection topography as well as rocking curve measurements were used for the characterisation of samples. The aim of the experiment was the evaluation of the dose dependence of ion implantation induced strain and the determination of amorphisation threshold. It was found that the implantation performed at room temperature did not cause lattice amorphisation even for highest applied doses, i.e. 2 x 10(15) Se/cm(2). The strain induced by 1.5 MeV Se ions implantation at room temperature increases with the ion dose and reaches its maximum for 3 X 10(14) ions/cm(2). Further increase of the dose resulted in the decrease of the strain. Ion implantation performed at liquid nitrogen temperature led to amorphisation of the sample at doses of the order of 10(13) ions/cm(2). The amorphisation manifested itself in the significant decrease of the implanted layer maximum in the rocking curve. A strain modulation fringes characteristic for non-monotonic strain profiles were observed in some Bragg-case section topographs. (C) 2001 Elsevier Science BY All rights reserved.

Keywords: ion implantation; InxGa1-xAs; X-ray studies; strain

  • JOURNAL OF ALLOYS AND COMPOUNDS 328 (1-2): 193-198 OCT 4 2001

Permalink: https://www.hzdr.de/publications/Publ-4924
Publ.-Id: 4924


Alloying of Pd into Ti by pulsed plasma beams

Werner, Z.; Piekoszewski, J.; Barcz, A.; Grötzschel, R.; Prokert, F.; Stanislawski, J.; Szymczyk, W.

Pd¯Ti alloy surface layers in Ti formed by N- and Ar-pulse plasma alloying of Pd films deposited by pulse erosion of Pd electrode tips were studied by RBS, XRD, SIMS, and gravimetric analysis. Layers of a thickness up to 2 m and concentration up to 40% Pd at the surface were obtained. Pd is present as a solute in hcp Ti and as TiPd and TiPd3 phases. The Pd profiles follow Gaussian distribution although no simple theoretical model can account for this behavior.

Keywords: Pulse plasma treatment; Surface alloys; Corrosion protection

  • Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms Volumes 175-177, April 2001, Pages 767-771

Permalink: https://www.hzdr.de/publications/Publ-4923
Publ.-Id: 4923


The effects of implantation temperature on He bubble formation in silicon

Da Silva, D. L.; Fichtner, P. F. P.; Peeva, A.; Behar, M.; Koegler, R.; Skorupa, W.

In the present contribution, we report experimental results on the formation of He-induced cavities in Cz grown (1 0 0) Si samples implanted with 40 keV He+ ions to a fluence of 1×1016 cm-2 at four implantation temperatures, 77, 133, 233 and 300 K, and submitted to rapid thermal annealing at 1073 K for 600 s. The as-implanted samples were analyzed by Rutherford backscattering/channeling spectrometry (RBS/C) and the annealed ones by transmission electron microscopy (TEM). The results obtained show that the characteristics of the produced cavity systems depends significantly on the implantation temperature. A correlation between the dynamic annealing behavior and the bubble nucleation process is proposed.

Keywords: Defects; Voids; Ion implantation; Radiation effects; Helium; Silicon

  • Nuclear Instuments and Methods in Physics Research B 175 (2001) 335

Permalink: https://www.hzdr.de/publications/Publ-4922
Publ.-Id: 4922


Characterization of multilayer thin films optical filters using RBS

Vlastou, R.; Fokitis, E.; Kokkoris, M.; Kossionides, E.; Koubouras, G.; Grötzschel, R.

Characterization of multilayer thin films optical filters using RBS

Keywords: RBS; thin films

  • AIP Conf. Proceedings 576 (2001) 436

Permalink: https://www.hzdr.de/publications/Publ-4921
Publ.-Id: 4921


Lattice location of implanted tellurium in GaN heteroepitaxial films

Seppälä, A.; Rauhala, E.; Grötzschel, R.

The lattice site of implanted tellurium in gallium nitride heteroepitaxial films grown on sapphire substrates has been studied. GaN samples were implanted with 400 keV 130Te ions at 300 °C. The ion dose was 1.5×1015 cm-2. The samples were analysed with Rutherford backscattering spectrometry channelling using 2.0 MeV 4He ions. The maximum Te concentration was 0.2 at.%. Based on measured channelling angular scans for Ga and Te along the 0001 and 101 axial directions, analysed by comparison with Monte Carlo simulations, it was concluded that in the as-implanted sample about 70% of Te atoms were on the Ga atom lattice sites, slightly displaced. The measured 101 scan showed no evidence of substitutional Te on N lattice site. The post-implantation annealing at 900 °C was found to decrease the substitutional fraction of Te while little recovery of the host lattice was observed. This indicates an interaction process between Te atoms and implantation-induced lattice defects. No migration of Te during annealing at 900 °C was observed. In addition, the effect of misfit dislocations in the host lattice on the channelling yield was visible in the 101 angular scan measured at a 47° tilt angle.}

Keywords: Lattice site location; channeling; RBS

  • J. Phys. D: Appl. Phys. 34 (7 February 2001) 269-272

Permalink: https://www.hzdr.de/publications/Publ-4920
Publ.-Id: 4920


Using EXAFS to identify sorbed uranium(VI) species on chlorite and secondary Fe phases

Walter, M.; Arnold, T.; Krawczyk-Bärsch, E.; Bernhard, G.

The aim of this study was to obtain information about the sorption mechanism of uranium(VI) in chlorite systems. Chlorite is a main mineralogical constituent of phyllite and therefore found in tailings related to the former uranium mining activity in Saxony and Thuringia/Germany. It is also a common subsolidus alteration product in granites and therefore important for risk assessment of high level waste in granitic environments. Alteration of the ferrous chlorite leads to a release of iron, followed by precipitation as ferrihydrite, goethite or hematite. The altered chlorite as well as the ferric iron precipitates affect the uranium migration by sorption processes.

Samples for Extended X-ray Absorption Fine Structure (EXAFS) analyses were prepared under ambient conditions using 200 mg of a ferrous iron chlorite (grain size 2 to 6.3 µm, [Mg5,5Al2,48Fe2+ 3,02Fe3+ 0,94)[(Si5,33Al2,66)O20(OH)16], from Flagstaff Hill, California), dispersed in 1000 ml of 0.01 N NaClO4 solution. The initial uranium(VI) concentrations were set to 1x10-5 M at approximately pH 6.5. The uranium(VI) was added to the first sample immediately after pH adjustment, allowing no time for alteration reactions. Uranium(VI) was added to the second and third sample allowing an alteration period of 2 and 6 months, respectively. The pH was not adjusted during the alteration. After a sorption period of 6 hours for the first and approx. 60 hours for the second and third sample, the suspensions were centrifuged and the sorption samples were prepared as wet pastes for EXAFS measurements.

Extended X-ray Absorption Fine Structure (EXAFS) is a well-established spectroscopic method to identify the local coordination of uranium. EXAFS spectra were recorded at room temperature in fluorescence mode at the Rossendorf beamline (ROBL) at the ESRF in Grenoble. The measured EXAFS oscillations were fitted using the EXAFSPAK program. The theoretical phase shifts and backscattering amplitudes were calculated with FEFF8.
Two axial oxygens at a distance of 1.79 Å to the uranium atom were found, suggesting that uranium(VI) reduction to uranium(IV) is not the dominant sorption mechanism. The low mean distance of the equatorial oxygen's of 2.32 to 2.36 Å and the high Debye-Waller factors are typical for an inner-sphere surface complexation. No magnesium, aluminum, silicon, or iron backscatterers at distances of 3 to 4 Å , which would indicate a bidentate or monodentate surface complexation, were observed. On the basis of these results it was not possible to attibute the adsorbed uranium to different crystallographic sites (edges versus basal plane sites) including secondary iron sites.
However, a simulation of EXAFS spectra shows a destructive interference of aluminum (or magnesium) and iron EXAFS contributions in bidentate coordination of uranium(VI) on metal octahedras.

Keywords: uranium(VI); chlorite; EXAFS

  • Poster
    EURESCO Conference: Geochemistry of Crystal Fluids 2002, 14.-19.12.2002, Seefeld, Österreich

Permalink: https://www.hzdr.de/publications/Publ-4919
Publ.-Id: 4919


Contribution of ICP-IDMS to the certification of antimony implanted in a silicon wafer - comparison with RBS and INAA results

Pritzkow, W.; Vogl, J.; Berger, A.; Ecker, K.; Grötzschel, R.; Klingbeil, P.; Persson, L.; Riebe, G.; Wätjen, U.

A thin-layer reference material for surface and near-surface analytical methods was produced and certified. The surface density of the implanted Sb layer was determined by Rutherford backscattering spectrometry (RBS), instrumental neutron activation analysis (INAA), and inductively coupled plasma isotope dilution mass spectrometry (ICP-IDMS) equipped with a multi-collector. The isotopic abundances of Sb (121Sb and 123Sb) were determined by multi-collector ICP-MS and INAA. ICP-IDMS measurements are discussed in detail in this paper. All methods produced values traceable to the SI and are accompanied by a complete uncertainty budget. The homogeneity of the material was measured with RBS. From these measurements the standard uncertainty due to possible inhomogeneities was estimated to be less than 0.78% for fractions of the area increments down to 0.75 mm2 in size. Excellent agreement between the results of the three different methods was found. For the surface density of implanted Sb atoms the unweighted mean value of the means of four data sets is 4.811016 cm-2 with an expanded uncertainty (coverage factor k=2) of 0.091016 cm-2. For the isotope amount ratio R (121Sb/123Sb) the unweighted mean value of the means of two data sets is 1.435 with an expanded uncertainty (coverage factor k=2) of 0.006.

Keywords: RBS; NRA; Standard certification

  • Fresenius' Journal of Analytical Chemistry Volume 371 Number 6 November 2001, 867

Permalink: https://www.hzdr.de/publications/Publ-4918
Publ.-Id: 4918


Determination of stopping power of channeld alpha-particles in SiO2in the backscattering geometry

Kokkoris, M.; Kossionides, E.; Vlastou, R.; Aslanoglou, X. A.; Grötzschel, R.; Paradellis, T.

Energy spectra of protons channeling along the (0001) axis of several SiC polytype crystals, (namely 4H, 6H, 15R, 21R) in the energy region Ep=1.7-2.5 MeV, in the backscattering geometry, were taken and analyzed. Computer simulations based on the assumption that the dechanneling of protons follows an exponential law are in very good agreement with the measured spectra. The obtained results for the two crucial channeling parameters, ?, the mean channeling distance, and, a, the ratio of the stopping powers in the aligned and random mode are compared for the different structures and an attempt is made to explain the occuring similarities as well as the differences, in order to evaluate the use of SiC polytypes as substrates in implantations and thin film depositions. An attempt is also made to correlate the results from the present work to the ones obtained in the past for simpler crystallographic structures, namely Si <100> and Si <111>, as well as more complex ones, such as SiO2 (c-axis).

Keywords: Backscattering; Channeling; Nuclear resonance; Quartz crystal

  • AIP Conf. Proceedings 576, Melville,(2001) 7

Permalink: https://www.hzdr.de/publications/Publ-4917
Publ.-Id: 4917


Determination of the stopping power of channeled protons in SiO2 in the backscattering geometry

Kokkoris, M.; Vlastou, R.; Aslanoglou, X. A.; Kossionides, E.; Grötzschel, R.; Paradellis, T.

Energy spectra of protons channeling along the optical axis (c-axis) of a quartz crystal in the energy region Ep=1.7¯2.5 MeV in the backscattering geometry were taken and analyzed. Computer simulations based on the assumption that the dechanneling of protons follows an exponential law are in good agreement with the measured spectra, yielding electronic stopping powers for the specific crystal orientation that vary between 2.35 and 1.74 eV/Å, respectively, for the energy interval in consideration. The results are compared with the ones obtained in the past for simpler crystallographic structures, namely Si <1 0 0> and Si <1 1 1>, and an attempt is made to explain the occurring similarities as well as the differences.

Keywords: Backscattering; Channeling; Nuclear resonance; Quartz crystal; Protons

  • Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms Volume 173, Issue 4, February 2001, Pages 411-416

Permalink: https://www.hzdr.de/publications/Publ-4916
Publ.-Id: 4916


Determination of parameters for channeling of protons in SiC polytype crystals in backscattering geometry

Kokkoris, M.; Kossionides, E.; Vlastou, R.; Aslanoglou, X. A.; Grötzschel, R.; Nsouli, B.; Kuznetsov, A.; Petrovic, S.; Paradellis, T.

Energy spectra of protons channeling along the (0 0 0 1) axis of several SiC polytype crystals (namely 4H, 6H, 15R, 21R) in the energy region Ep=1.7¯2.5 MeV, in the backscattering geometry, were taken and analyzed. Computer simulations based on the assumption that the dechanneling of protons follows an exponential law are in very good agreement with the measured spectra. The obtained results for the two crucial channeling parameters, , the mean channeling distance, and, , the ratio of the stopping powers in the aligned and random mode are compared for the different structures and an attempt is made to explain the occurring similarities as well as the differences, in order to evaluate the use of SiC polytypes as substrates in implantations and thin film depositions. An attempt is also made to correlate the results from the present work to the ones obtained in the past for simpler crystallographic structures, namely Si(1 0 0) and Si(1 1 1), as well as more complex ones, such as SiO2 (c-axis).

Keywords: Backscattering; Channeling; Nuclear resonance; SiC crystal; Protons; Polytype

  • Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms Volume 184, Issue 3, November 2001, Pages 319-326

Permalink: https://www.hzdr.de/publications/Publ-4915
Publ.-Id: 4915


Study of the calcium phosphate layer grown on AISI 316 stainless steel from a simulated body fluid

Pecheva, E.; Pramatarova, L.; Maitz, M. F.; Pham, M. T.

A calcium phosphate layer was deposited on the surface of AISI 316 stainless steel by immersion in a solution, supersaturated for calcium and phosphorous ions. The substrates were pre-modified by ion implantation of Ca and P in order to induce nuclei for calcium phosphate growth on the surface. The reactivity of these surfaces towards crystal growth in aqueous solution was examined by Fourier Transform Infrared Spectroscopy, Raman Spectroscopy, Scanning Electron Microscopy and Energy Dispersive X-ray Spectroscopy. They showed that the deposited layer was a mixture of hydroxyapatite and other calcium phosphates.

Keywords: hydroxyapatite; calcium phosphate; simulated body fluid

  • Poster
    12th International School on Quantum Electronics: Lasers-Physics and Applications September 15-20, 2002, Varna, Bulgaria Varna, Bulgaria
  • Journal of Materials Science - Materials in Electronics 14 (2003): 775-6

Permalink: https://www.hzdr.de/publications/Publ-4914
Publ.-Id: 4914


Zeitaufgelöste Spektroskopie an Halbleiterheterostrukturen mit dem Freie-Elektronen Laser an ELBE

Dekorsy, T.

Die Grundlagen der zeitaufgelösten Spektroskopie an Halbleitern und Halbleiterheterostrukturen mit dem Freie-Elektronen Laser
an ELBE werden erläutert mit Schwerpunkt auf der Dynamik von Intersubbandübergängen. Neben Anrege-Abfrage Experimenten mit einer Wellenenlänge (degenerate pump-probe) liefern Mehrfarben-Experimente mit synchronisierten Femtosekunden Lasern zusätzliche wichtige Informationen über elektronische Nichtgleichgewichts-Verteilungsfunktionen. Desweiteren werden die Techniken des Vier-Wellen Mischens und des spektralen Lochbrennens diskutiert, die bei der Beantwortung von Fragen nach inhomogenen/homogenen Verbreiterungen von Übergängen wichtig sind.

  • Contribution to WWW
    FZR ELBE Web-pages

Permalink: https://www.hzdr.de/publications/Publ-4913
Publ.-Id: 4913


Ion nitriding of aluminium

Fitz, T.

no abstract delivered from author

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-354 September 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4912
Publ.-Id: 4912


Röntgen-Tomographie mit Hilfe einer Elektronenstrahl-Schweißanlage

Hoppe, D.; Fietz, J.; Zippe, C.; Koch, D.

Eine vorhandene Elektronenstrahl-Schweißanlage soll mit geringstem technischen Zusatzaufwand zur Röntgen-Tomographie eingesetzt werden. Im Vakuum der Schweißanlage werden dafür neben einer geeigneten Anode für den Elektronenstrahl nur eine einfache Vorrichtung zum Drehen des Untersuchungsobjektes und insbesondere nur ein einziger Detektor für die Röntgenstrahlung untergebracht. Die zur tomographischen Bildrekonstruktion benötigte Information soll allein aus der Messgröße dieses Detektors gewonnen werden.

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-361 November 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4911
Publ.-Id: 4911


Atomistic simulation of defect formation and evolution in Si within the first 100 - 1000 ps after ion impact

Posselt, M.

Ion bombardment causes atomic collisions and the displacement of target atoms. The subsequent athermal and rapid thermal relaxation processes lead to a (meta)stable defect structure which can still be changed by thermal activation. The initial stage of defect formation occurs on very small time and length scales and is therefore hardly accessible by available experimental methods. Therefore, atomistic computer simulations are employed to investigate these processes and to determine the (meta)stable defect structure formed. The knowledge of details of this damage state, e.g. of type and amount of defects, can contribute to a better understanding of ion implantation and ion-assisted layer deposition.
In this talk, a combined atomistic simulation method is used to study a relatively simple case, the defect formation by a single ion impact in bulk silicon. The procedure allows the effective calculation of the total number and the depth distribution of different defect species (isolated vacancies and self-intersitials as well as more complex defects) formed on average per incident ion. Furthermore, it enables investigations on the temporal evolution of the defect structure up to several 100 ps after ion impact, and on the influence of the target temperature on this process.

Keywords: defect formation; silicon; ion bombardment; simulation

  • Invited lecture (Conferences)
    International Workshop on the Effect of Ions in Layer Growth, Balatonvilagos, Hungary, September 9 - 12, 2002 (invited talk)

Permalink: https://www.hzdr.de/publications/Publ-4910
Publ.-Id: 4910


Molecular dynamics study of atomic displacements and subsequent lattice relaxation in 3C- and 4H-SiC

Posselt, M.; Belko, V.

Ion implantation is considered to be a very suitable means for selective electrical doping of SiC. However, ion irradiation produces defects which can prevent the electrical activation of the implanted dopants. The understanding of ion-beam-induced defect generation and evolution in SiC is therefore extremely important. It consists of three stages: (i) atomic displacements during ballistic processes, (ii) formation of metastable defects after fast relaxation of the crystalline lattice, and (iii) long-term thermally induced defect rearrangement, migration, recombination and reduction. The present work deals with elementary processes occurring in the first two stages. Classical molecular dynamics (MD) simulations using a modified Tersoff potential are performed to investigate the conditions for defect formation by a single Si or C primary knockon atom (PKA) at T = 300 K. The threshold PKA energy for defect formation as well as the resulting defect configuration and its formation energy are determined. This study is limited to cases where the PKA starts parallel or antiparallel to the [0001] direction, which is identical to [111] in the cubic 3C-SiC. However, certain general results obtained are also valid for other examples. In contrast to previous publications, the more detailed investigations performed in this work reveal, that for a well-defined start direction neither for a Si nor for a C PKA a fixed threshold PKA energy for defect formation exists. Instead, a transition region having a width of 2.5 – 20 eV is found in which the probability for defect formation increases from 0 to 1. Moreover, for the same PKA energy and start direction, different defect configurations may be obtained. Both results are due to the fact that lattice vibrations influence the dynamics of atomic displacement and relaxation processes, in particular its initial conditions. Most of the defects found are different configurations of single pairs of vacancies (V) and self-interstitials (I). Since the lattice structure of 4H-SiC is more complicated than that of 3C-SiC, a greater variety of different defect types is found in this polytype. At 300 K the probability for overcoming the energetic barriers for V-I recombination or between different metastable defect states is very small. Therefore, within 20 – 50 ps after the PKA is started, present MD simulations do not yield a further transformation of the defect configurations formed by fast relaxation processes.

Keywords: Silicon carbide; atomic displacements; defect formation; 3C- and 4H-SiC

  • Lecture (Conference)
    European Conference on Silicon Carbide and Related Materials ECSCRM2002, Linköping, Sweden, September 1 - 5, 2002

Permalink: https://www.hzdr.de/publications/Publ-4909
Publ.-Id: 4909


Improvement of the repulsive part of the classical interatomic potential for SiC

Belko, V.; Posselt, M.; Chagarov, E.

Investigations of ion-beam-induced defect formation by classical molecular dynamics simulations are determined decisively by the quality of the interatomic potentials employed. Potentials of Tersoff and Brenner type are presently considered the best to describe structural and defect properties of SiC. However, their repulsive part does not agree well with state-of-the-art potentials used in atomic collision physics. The subject of the present work is therefore the improvement of the repulsive interaction in the Tersoff and Brenner type potentials, in order to enable a better description of ballistic and athermal processes occuring during ion bombardment of SiC. At small interatomic distances the pair part of these potentials is replaced by the well-tested ZBL potential. An exponential spline function is employed to connect the ZBL potential with the two-body part of the Tersoff or Brenner potential, in the region between some ten and zero eV. The resulting pair potential and its first derivative must be continuous and monotonic over the whole range of repulsive interaction. The improvements introduced are tested by comparing the energies for repulsive interactions in Si-Si, Si-C, and C-C dimers with corresponding results obtained by ab-initio DFT calculations using the DMOL code. The second modification introduced into the Tersoff and Brenner type potentials concerns their three-body part. Its contribution to the total potential is reduced for small interatomic separations at which the interaction between two atoms should be independent of their coordinations to the other neighbor atoms. This is realized in such a manner that the total potential and its derivative remain continuous and monotonic in the region where the reduction of the three-body part is performed.

Keywords: Silicon carbide; interatomic potential; molecular dynamics simulations

  • Lecture (Conference)
    6th International Conference on Computer Simulation of Radiation Effects in Solids, Dresden, Germany, June 23 - 27, 2002

Permalink: https://www.hzdr.de/publications/Publ-4908
Publ.-Id: 4908


Structure and energetics of elementary defects in 4H-SiC

Posselt, M.; Gao, F.; Belko, V.; Weber, W. J.

Silicon carbide is a promising material for applications in special electronic devices. Ion implantation is considered to be the best means to introduce dopants into SiC in a controlled manner. However, ion irradiation produces defects which can prevent the electrical activation of the implanted dopants. The understanding of ion-beam induced defect formation and evolution in SiC is therefore very important. A peculiarity of SiC is the occurence of polytypism. It can be illustrated by different stacking sequences of layers formed by SiC4 (or CSi4) tetrahedra. Wafers available for technological applications are either 4H or 6H-SiC single crystals, i.e. polytypes with hexagonal symmetry. The present work deals with structure and energetics of elementary defects in 4H-SiC. Based on the lattice structure and symmetry, a classification of potential vacancies, antisite defects and interstitials is given. In comparison with the cubic polytype 3C-SiC which was already studied in detail a considerably higher number of nonequivalent defect sites is found. The stability, formation energy, and structural details of the potential defects is investigated by classical MD simulations using a recently developed interatomic potential of Brenner type. Most of the potential defects are found to be stable. Many of them show a similar structure and formation energy. In these cases the first and the second nearest neighbor atoms of the defect site are identical.

Keywords: Silicon carbide; defects; 4H-SiC; molecular dynamics simulation

  • Lecture (Conference)
    6th International Conference on Computer Simulation of Radiation Effects in Solids, Dresden, Germany, June 23 - 27, 2002

Permalink: https://www.hzdr.de/publications/Publ-4907
Publ.-Id: 4907


Proceedings of the 7th International Conference on Plasma Surface Engineering

Berg, S.; Möller, W.; Rie, K. T.; (Editors)

no abstract delivered from author

  • Contribution to external collection
    Surface Coatings and Technology 142 - 144 (2001)

Permalink: https://www.hzdr.de/publications/Publ-4906
Publ.-Id: 4906


Einfluss von Wasserstoff auf die Zähigkeit von Reaktordruckbehälterstählen

Uhlemann, M.; Böhmert, J.; Müller, G.

Der Beitrag stellt Ergebnisse zum Wasserstoffdiffusionsverhalten, zur Wasserstofflöslichkeit und zum Einfluss des Wasserstoffs auf die mechanischen Eigenschaften von unterschiedlichen unbestrahlten Druckbehälterstählen vor. Sie beziehen sich auf solche Bedingungen, die denen im DWR nahe kommen. Die Wasserstoffdiffusion und -löslichkeit wurde durch Permeationsexperimente und Beladungsversuche ermittelt. Zur Charakterisierung des Einflusses von Wasserstoff auf Festigkeit und Zähigkeit wurden Zugversuche mit Dehnraten von 10-6...10-4/s im Temperaturbereich von 25-250°C nach elektrolytischer Wasserstoffvorbeladung und bei gleichzeitiger Wasserstoffbeladung mit kathodischen Stromdichten von -0.5 bis -5 mA/cm2 im simulierten Reaktordruckwasser durchgeführt. Mit Wasserstoff vorbeladene Charpy-Proben wurden im instrumentierten Kerbschlagbiegeversuch bei Prüftemperaturen bis 323 K geprüft.

  • Lecture (Conference)
    2. Workshop "Kompetenzverbund und Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 19
  • Contribution to proceedings
    2. Workshop "Kompetenzverbund und Kerntechnik" vom 18. - 19. 09. 2002 in Köln, Tagungsband, Vortrag Nr. 19

Permalink: https://www.hzdr.de/publications/Publ-4905
Publ.-Id: 4905


Electrode erosion mechanism in the rod plasma injector type of generator as deduced from the structure of irradiated substrates

Piekoszewski, J.; Stanislawski, J.; Grötzschel, R.; Matz, W.; Jagieslki, J.; Szymczyk, W.

Titanium atoms were alloyed into a polycrystalline alindum substrate using various number of intense pulses consisting of plasma of the working gas and vapor and low energy ions of Ti erode from elecctrodes of the rod plasma injector type generator. Analyses of phase composition and of structural properties, as well as computer simulations of thermal evolution brought to the conclusion that increase of number of pulses leads to decrease of melting temperature of the top layer of the substrate. The erosion of the electrode material occurs during the last phase of the discharge via the vacuum arc mechanism.

Keywords: plasma alloying; plasma melting; vapor ionization

  • Nucleonika 47 (2002) 113-117

Permalink: https://www.hzdr.de/publications/Publ-4903
Publ.-Id: 4903


Uranium Speciation in biological systems; Uranium speciation in plants

Bernhard, G.

no abstract delivered from author

  • Lecture (Conference)
    Universität Tokyo, 28.8.2002

Permalink: https://www.hzdr.de/publications/Publ-4902
Publ.-Id: 4902


A contactless inductive velocity reconstruction method for metallic and semiconducting melts

Stefani, F.; Gerbeth, G.

The knowledge of the flow in metallic and semiconducting melts is important for a number of industrial problems. Optical methods cannot be applied due to the opaqueness of those fluids. Ultrasonic methods have problems with wetting and thermal stability when applied in hot metallic melts. Evidently, contactless methods for velocity determination would be highly desirable. In some applications even a coarse knowledge of the flow topology and the direction of the main eddies would be of high value. We study the possibility of velocity reconstruction in electrically conducting fluids from external measurements of different induced magnetic fields. It is shown that for a reliable velocity reconstruction the effect of the electric potential at the fluid boundary on the induced magnetic field should be taken into account.

  • Materials and Manufacturing Processes 19(2004), 651 - 663
  • Lecture (Conference)
    132nd Annual Meeting and Exhibition (TMS), San Diego, California, March 2-6,2003

Permalink: https://www.hzdr.de/publications/Publ-4901
Publ.-Id: 4901


Detection and characterization of colloids in acid rock drainage solution from an ore mine - Application of X-ray Absorption Spectroscopy (XAS)

Moll, H.; Zänker, H.; Richter, W.; Brendler, V.; Hennig, C.; Reich, T.; Kluge, A.; Hüttig, G.

In the aqueous environment of abandoned ore mines, iron sulfide ore crystals em-bedded in clay play a key role in the sulfide oxidation process and water mineraliza-tion process occurring there. Highly mineralized, red-coloured solutions are formed by weathering of ore particles which causes acid rock drainage (ARD) that can be extremely acidic. The access of ARD solutions to the bulk waters of a mine is a major factor influencing the composition of mining effluents. Very little is known about the speciation of heavy and toxic metals and about the mineralogy of the colloidal parti-cles of such ARD solutions. In particular the colloid-chemistry of such waters is little understood. However, colloids can significantly influence the properties of ARD solu-tions.
Acid rock drainage (ARD) solution from an abandoned ore mine (pH 2.7, sulfate con-centration 411 mMol/L, Fe concentration 93.5 mMol/L) was investigated by photon correlation spectroscopy, centrifugation, filtration, ultrafiltration, scanning electron microscopy, ICP-MS, AAS, ion chromatography, TOC analysis, and X-ray absorption (XAS/EXAFS) spectroscopy. A colloid concentration of m 1 g/L was found. The pre-vailing particle size was < 5 nm. Fe, As and Pb were the metal constituents of the colloidal particles. According to EXAFS spectroscopy, the most probable mineralogi-cal composition of these particles is a mixture of hydronium jarosite (HFe3(SO4)2(OH)6) and schwertmannite (ideally Fe8O8(OH)6SO4). A small amount of a relatively coarse precipitate was formed in the ARD solution during the months af-ter sampling. The colloid particles are obviously an intermediate in the precipitate formation process. Our results suggest that the arsenate is bound to the colloid parti-cles by the formation of a bidentate binuclear inner-sphere surface complex. How-ever, the transformation of the colloidal material to the more aggregated long-term precipitate results in the incorporation of the arsenate into the interior of the iron hy-droxy sulfate crystal structures. Pb seems to occur as anglesite (PbSO4).

Keywords: colloids; acid rock drainage; XAS

  • Lecture (Conference)
    DBG Conference: Mineral Surface and Colloid Chemistry in Soil and Aquatic Environments, Karlsruhe, Germany, October 8-11, 2002, extended abstract in: Mitteilungen der Deutschen Bodenkundlichen Gesellschaft 99, 201-202 (2002)

Permalink: https://www.hzdr.de/publications/Publ-4900
Publ.-Id: 4900


A Novel Silicon Detector for Energetic Electrons with Improved Linearity Characteristics

von Borany, J.; Beyer, D.; Beyer, V.; Schmidt, B.; Schnabel, B.

The paper describes a novel silicon detector with improved linearity characteristics for energetic electrons up to 50 keV. The modified pn-junction detector structure contains a buried implanted n(+)-layer (N~1-5E15 cm-3) in the n-type Si substrate which is attributed by a near-surface high-field region in the depletion zone. To enable a high-field region of several micrometers depth high-energy ion implantation with 31P ions of 10-30 MeV has been used. The corresponding electric field distribution of the novel detector is characterized by a constant electric field strength of 10-50 kV/cm from the surface down to the depth of the buried implanted layer. Detectors with considerable improved linearity up to electron current densities of 20 A/cm2 have been fabricated, which have been tested at the e-beam writer SB 350 of Leica Microsystems Lithography for electrons of 50 keV.

Keywords: e-beam lithography; electron detectors; Si-technology; high energy ion implantation

  • Poster
    Intern. Conference on Micro- and Nanoengineering 2002, Sept. 16-19, 2002, Lugano, Switzerland
  • Microelectronic Engineering 67-68 (2003) 140-148
  • Contribution to proceedings
    Intern. Conference on Micro- and Nanoengineering 2002, Sept. 16-19, 2002, Lugano, Switzerland

Permalink: https://www.hzdr.de/publications/Publ-4899
Publ.-Id: 4899


Structure and Properties of Titanium Oxide Layers prepared by Metal Plasma Immersion Ion Implantation and Deposition

Tsyganov, I.; Maitz, M. F.; Wieser, E.; Prokert, F.; Richter, E.; Rogozin, A.

Coating with titanium oxides is a promising method to improve the blood compatibility of materials to be used for medical implants. Ti oxide layers were deposited on oxidised Si from a plasma produced by cathodic arc evaporation under addition of oxygen to the ambient near the substrate. In dependence on the deposition parameters amorphous and nanocrystalline structures, crystalline layers composed of anatase and brookite as well as layers dominated by the rutile phase have been obtained. The activation of the plasmatic clotting cascade was only minimally influenced by the crystal size and the crystallite structure of the titanium oxide films. As a trend, amorphous, nanocrystalline and fine-grained layers show higher clotting times than well crystallized rutile films. Ion implantation of Cr or P strongly the clotting time.
Contrasting tendencies in the dependence of clotting time and platelet adhesion on the microstructure of the Ti oxide have been stated, however for P+-doped rutile both, enhanced clotting time and improved platelet adhesion, are observed. Platelet adherence and activation always show similar trends.

Keywords: Titanium oxides; Metal Plasma Immersion Ion Implantation and Deposition; Ion implantation; Phase formation; Blood compatibility

  • Surface & Coatings Technology 174-175 C (2003) 591-596
  • Poster
    PSE 2002. 8th International Conference on Plasma Surface Engineering, September 9 - 13, 2002, Garmisch-Partenkirchen, Germany

Permalink: https://www.hzdr.de/publications/Publ-4898
Publ.-Id: 4898


Sektionsberichte - Jahrestagung Kerntechnik 2002

Knebel, J.; Prasser, H.-M.; Hoffmann, P.-B.; Hüttmann, A.; Hansen, W.; Herrmann, D.; Böhmert, J.

Die folgenden 5 Beiträge dokumentieren die Ergebnisse der Sektionen "Thermo- und Fluiddynamik" (Sektion 2), "Brennelemente und Kernbauteile" (Sektion 5), "Forschungsreaktoren" (Sektion 9), "Fortschrittliche Reaktorkonzepte, Energiesysteme - Energiewirtschaft" (Sektion 10) und "Werkstoffe, Herstellung und Betriebsverhalten" (Sektion 12).

  • atomwirtschaft, Sektionsbericht, 47. Jahrgang, Heft 7, S. 475, Juli 2002

Permalink: https://www.hzdr.de/publications/Publ-4897
Publ.-Id: 4897


Micromilling - High Potential Technology for Micromechanical Parts

Spath, D.; Tritschler, H.; Bischoff, L.; Schulz, W.

Many microfabrication processes lack the ability to structure wear resistant materials and to generate three dimensional geometries for small and medium lot sizes. Micromilling is a promising approach to overcome these limits of common microfabrication processes. In this paper it will be dem-onstrated that micromilling of steel with tungsten carbide tools shows good results if prerequisites regarding the tool and workpiece material structure and properties are fulfilled. For further miniaturiza-tion of structure details down to dimensions of 30-50 µm the diameters of the tools have to be reduced. This can no longer be done by grinding. In consequence new forceless processes like laser or ion beam machining are investigated. First results of structured end mills as well as milled structures will be presented.

Keywords: Micromilling; Focused Ion Beam; tungsten carbide tools

  • Lecture (Conference)
    6th International Conference on Advanced Manufacturing Systems and Technology, AMST 02 June 20 - 21, 2002, Udine, Italy

Permalink: https://www.hzdr.de/publications/Publ-4896
Publ.-Id: 4896


Improvement of Micro End Milling Tools through Variation of Tool Manufacturing Method and Geometry

Schmidt, J.; Tritschler, H.; Bischoff, L.

Micro-cutting offers good potentialities for manufacturing small and medium lot sizes of micro-parts with unrestricted geometry at an economically reasonable expense, either by direct machining or as a means to make moulds for micro injection molding. It yields large removal rates, good compliance with allowances, good surface quality and a wide choice of workpiece materials. Particularly when highly wear resistant materials are to be sculptured, as in mould making for powder injection molding, micro cutting of tool steel is quite an eligible option. With the presentultra-precision drive train, guideways and spindle technology being rather advanced, restrictions to the cutting process efficiency results most of all from the cutting tool itself. Overcoming these shortcomings requires variation of tool material, tool manufacturing method and tool geometry.

Keywords: Micro Milling; Steel; Focused Ion Beam

  • Lecture (Conference)
    International. Conference on Micro and Nano Systems, ICMNS2002 August 11 - 14, 2002, Kumning, China

Permalink: https://www.hzdr.de/publications/Publ-4895
Publ.-Id: 4895


Umgebungseinflüsse auf ionenimplantiertes SiO2

Schmidt, B.

Nach einer Einführung zur Ionenstrahlsynthese (IBS) von Nanoclustern am Institut für Ionenstrahlphysik und Materialforschung des FZ Rossendorf werden kurz die Grundlagen der Phasenseparation in übersättigten Festkörperlösungen geschildert.
Wird die Ionenstrahlsynthese in sehr oberflächennahen Gebieten (Tiefen < 50 nm)
durchgeführt, spielen Umgebungseinflüsse auf die Pasenseparation, wie Absorption von Luftfeuchte in implantierten Isolatorschichten und Restfeuchte in der Inertgas- Temperatmosphäre (im ppm-Bereich) eine eine nicht zu vernachlässigende Rolle.
Mittels Nuklear Reaction Analysis (NRA) wurden Tiefenprofile von Wasserstoff an "as-implanted" und Luft gelagerten SiO2-Schichten gemessen, die die defektbeschleunigte Eindiffusion von Wasser bei Raumtemperatur representieren.
Somit ist bei der Ionenstrahlsynthese, besonders bei der thermische Behandlung nach der Ionenimplantation, mit chemischen Reaktionen der implantierten Spezies (Oxidbildung und Hydridbildung) zu rechnen, die signifikant die Prezipitation und das Ostwald-Reifen von Nanoclustern beinflussen.
Die Untersuchungen sind von besonderem Interesse für die IBS von Nanocluster in ultrasünnen Gateoxiden, die in neuartigen nichtflüchtigen Speicherschaltkreisen eingesetzt werden sollen.

  • Lecture (others)
    Ionentreffen, Universität Augsburg, Augsburg, 01.-02. Oktober 2001

Permalink: https://www.hzdr.de/publications/Publ-4894
Publ.-Id: 4894


Self-organized NC-layers by conventional ion implantation: status report WP2 - fundamental related experiments

Schmidt, B.; Heinig, K.-H.; Müller, T.; Stegemann, K.-H.

The report describes the experimental proof of the formation of SiO2/Si-inteface near nanocrystal delta-layers in 500 nm thick silicon oxide due to interface mixing (defined displacement of matrix atoms) using Si irradiation through the SiO2 and Ge-implantation into the SiO2 without Ge deposition near the interface. Ge has been implanted for decoration of Si precipitates near the interface by Ge during thermal treatment of the ion irradiated SiO2.
RBS und XTEM investigations show that inteface near Ge-nanocrystal delta-layers are formed only in the case of ion beam mixing of the SiO2/Si-interface. The results agree with theoretical predictions done by Monte-Carlo computer simulations.
Furthermore, results of hydrogen depth profiling on Si-, Ge- and Sn-implanted SiO2-layers in the as implanted state using Nuclear Reaction Analysis (NRA) are reported. From H-depth profiling we conclude that in as implanted SiO2-layers a radiation defect enhanced water inward diffusion from moisture of the ambient atmosphere takes place. The absorbed H2O (H, OH) interfere the precipitation and Ostwald-Ripening of nanocrystals during thermal treatment, following the ion implantation process.

  • Lecture (others)
    NEON-Project Meeting, Aarhus, Denmark, August 20-21, 2001

Permalink: https://www.hzdr.de/publications/Publ-4893
Publ.-Id: 4893


Elasic recoil detection analysis of ion-exchanged soda-lime glass substrates for a-SI:H devices

Panchev, B.; Danesh, P.; Kreissig, U.; Schmidt, B.

Elastic recoil detection analysis has been used to study the interaction between the soda-lime glass substrates and the a-Si:H films. It has been established that H and Na ions penetrate from the near-surface region of the substrate into the deposited film. The results have shown that the influence of the substrate on the properties of a-Si:H can be strongly reduced by glass surface modification using the processes of ion exchange and ion extraction.

Keywords: elastic recoil detection analysis; soda-lime glass; ion exchange; hydrogenated amorphous silicon

  • Poster
    2th International Summer School on Vacuum, Electron and Ion Technologies (VEIT 2001), Varna, Bulgaria, September 17-21, 2002

Permalink: https://www.hzdr.de/publications/Publ-4892
Publ.-Id: 4892


Development of a-Si:H films during plasma-enhanced CVD from hydrogen-diluted silane

Panchev, B.; Danesh, P.; Grambole, D.; Schmidt, B.

The application of hydrogenated amorphous silicon (a-Si:H) in the photovoltaic devices provided a basis for an extensive process development. Plasma enhanced chemical vapor deposition (PECVD) from pure silane is the most often used method for preparation of a-Si:H films. Recently, the benefits of strong dilution of silane with hydrogen have been demonstrated for the decrease of the light-induced degradation of the a-Si:H solar cells. The growth of a-Si:H films in a hydrogen-rich plasma is accompanied by two processes - in-situ hydrogenation and hydrogen etching. The hydrogenation leads to a relatively high hydrogen concentration in the films. The hydrogen etching promotes the morphological transition of amorphous network to the microcrystalline during the film growth. It has been suggested that regions with a higher structural order develop gradually as a-Si:H grows and these serve as sites for microcrystal growth. Such a gradual change has also been observed for the defect density and a clear relationship between the electronic properties and the medium-range order of the amorphous network has been established.
The aim of the present work is to find more evidence about the structural and compositional development of a-Si:H during the film growth. We have studied the thickness dependences of the interconnected void network and the depth profiles of the hydrogen concentration. The intrinsic mechanical stress in the films has also been measured, since it is related with both the structural order and the hydrogen content and is a parameter especially interesting for the large-area device processing.
a-Si:H films were deposited by PECVD from 10% silane in hydrogen - a dilution, at which a completely amorphous material can still be prepared. The substrate temperature was varied in the range of 150-270 °C. The films were deposited with a thickness in the range of 100-600 nm, the most important one for the device preparation.
The hydrogen and its depth distribution have been studied using nuclear reaction analysis. The dependence of the interconnected void network on the film thickness has been established by means of field-assisted ion exchange. This method is based on the silver-sodium ion exchange in the glass substrate and is sensitive to the interconnected void strings crossing the entire film thickness. The depth profiles of stress have been obtained by measuring the radii of curvature of a series of samples with different film thickness. The effect of the substrate temperature on the hydrogen concentration, void network and on the intrinsic stress has been studied, as well.
The obtained results suggest that there is no apparent correlation between the hydrogen concentration and the structural properties of the material. The temperature dependences are rather unusual and are discussed in view of the specificity of a-Si:H growth process in the hydrogen-rich plasma.

  • Poster
    5th European Conference on Photovoltaic Devices - High Efficiency Solar Cells, Tomar, Portugal, September 08-13, 2001

Permalink: https://www.hzdr.de/publications/Publ-4891
Publ.-Id: 4891


Einfluss von Ionenstrahlparametern auf die Phasenidentifizierung an Ge Nanopartikeln mittels XPS Tiefenprofilen

Oswald, S.; Schmidt, B.; Heinig, K.-H.

Die Ionenstrahlsynthese von Ge Nanoclustern in SiO2 wird mit Blick auf neue elektronische und optische Anwendungen (Ladungsspeicherung, Lumineszenz) untersucht. In vorangegangenen Arbeiten wurden Einfluss von Parametern der Ionenimplantation und der thermischen Nachbehandlung auf die Umverteilung von Ge und dessen Phasenseparation vom Siliziumdioxid in Form von Nanoclustern ausführlich untersucht [1].
Weitergehende Untersuchungen beschäftigten sich mit chemischen Veränderungen von Ge sowohl durch Einflüsse der Atmosphäre bei der Wärmebehandlung als auch bei der Wechselwirkung mit der SiO2-Matrix durch das Ionenstrahlmischen. Dabei konnte durch Tiefenprofiluntersuchungen mittels Photoelelektronenspektroskopie (XPS) gezeigt werden, dass die gebildeten Cluster aus elementarem Ge bestehen, diese jedoch bei Anwesenheit von Sauerstoff in der Temperatmosphäre mit einer scharfen Reaktionsfront in gelöstes und ausgeschiedenes Germaniumoxid übergehen [2].
Mit Hilfe der Faktoranalyse können die Veränderungen der diskutierten Spezies im Verlauf der Temperbehandlung durch eine Analyse der XPS-Peaklagen voneinander unterschieden werden. Hierbei wird noch eine dritte spektrale Komponente gefunden, die auf die Wechselwirkung kleiner Ge-Cluster mit der Oxidumgebung zurückgeführt werden kann. Allerdings legt der hohe relative Anteil dieser Komponente nahe, dass sie durch Ionmischen beim Sputtern (Ionenerrosion zur XPS-Tiefenprofilmessung) induziert sein könnte.
Dieser Vermutung wird durch Veränderung der Sputterbedingungen (standardmäßig 3,5 keV. Ar+) nachgegangen. Durch Verringerung der Eindringtiefe der Ionen (hohe Masse = Xe+, geringe Energie = 1 keV) kann tatsächlich eine Veränderung der Komponentenverteilung nachgewiesen werden. Es wird geschlussfolgert, dass durch eine ungeeignete Wahl der Sputterparameter der Anteil elementaren Ge in Form von Nanoclustern in der Probe unterschätzt wird.

[1] K.H. Heinig, B. Schmidt, A. Markwitz, R. Grötzschel, M. Strobel, S. Oswald,
Nucl. Instr. Meth. B148 (1999) 969.
[2] S. Oswald, B. Schmidt, K.H. Heinig, Surf. Interface Anal. 29 (2000) 249.

Keywords: XPS-tiefenprofilierung; Nanocluster; Ionenstrahlmischen

  • Lecture (Conference)
    Tagung Festkörperanalytik, Chemnitz, 25.-28. Juni 2001

Permalink: https://www.hzdr.de/publications/Publ-4890
Publ.-Id: 4890


Effect of ion implantation on the structural properties of a-Si:H films

Danesh, P.; Pantchev, B.; Savatinova, I.; Liarokapis, E.; Schmidt, B.

A comparative study of the effect of H+ and Si+ ion implantation on the hydrogenated amorphous silicon (a-Si:H) has been carried out in order to explore the interaction of hydrogen with the structural defects and to separate their contribution to the intrinsic compressive stress in the films. a-Si:H films were prepared by plasma-enhanced chemical vapor deposition. Raman scattering spectroscopy and infrared spectroscopy have been used to study the variations of the short range order of the silicon network and of the hydrogen bonding configuration, respectively. It has been suggested that the essential difference in the structural damage introduced by H+ and Si+ ion implantation could be related with the insertion of molecular hydrogen.

Keywords: hydrogenated amorphous silicon; ion implantation; Raman spectroscopy; infrared spectroscopy; mechanical stress

  • Poster
    12th International Summer School on Vacuum, Electron and Ion Technologies (VEIT 2001), Varna, Bulgaria, September 17-21, 2002
  • Vacuum 69 (2003) 83

Permalink: https://www.hzdr.de/publications/Publ-4889
Publ.-Id: 4889


Cathodoluminescence depth profiling by electron beam energy variation

Barfels, T.; Schmidt, B.; von Czarnowski, A.; Fitting, H.-J.

For investigation of luminescent centre profiles cathodoluminescence (CL) measurements are used by means of variation of the primary electron (PE) energy from Eo = 0.5 to 3 keV. Applying a constant incident power regime Eo x jo = const, the depth profiles of luminescent centres are deduced im comparable magnitude from the electron energy transfer profiles dE/dx.
Thermally grown SiO2 layers of thickness d = 500 nm have been implanted by Ge+-ions of energy 350 keV and doses 5x1015 to 5x1016 ions/cm2. Thus Ge profiles with a concentration maximum at the depth of about dm = 240 nm are expected. Afterwards the layers have been investigated partially "as implanted" and after different thermal annealing up to Ta = 1100 °C for one hour in dry nitrogen.
After thermal annealing, not only the typical violet luminescence (at 400 nm) of the Ge centers is strongly increased but also the luminescent centre profiles are sharpened and shifted in a characteristic manner from about 250 nm to 170 nm depth towards the surface.
In Ge-doped SiO2 layers the red (R) luminescence due to non-bridging oxygen hole centres (NBOHC) of the silica matrix is preserved, but the huge violet (V) band at 400 nm is attributed to twofold co-ordinated =Ge: centres as observed also in rutile-like GeO2 crystals. These centres in Ge+ implanted silica layers are especially developed during thermal annealing accompanied by Ge nanocluster formation, probably embedded in a GeO2 (or GeOx) surrounding within the silica matrix.

Keywords: Cathodoluminescence; depth profiling; ion implantation

  • Lecture (Conference)
    European Microbeam Analysis Society Conference (EMAS-2001), Tampere, Finland, May 06-10, 2001, Abstract Nr. 305

Permalink: https://www.hzdr.de/publications/Publ-4888
Publ.-Id: 4888


The effect of structural disorder on mechanical stress in a-Si:H films

Pantchev, B.; Danesh, P.; Savatinova, I.; Liarokapis, E.; Schmidt, B.; Grambole, D.

The effect of ion implantation on mechanical stress in a-Si:H films was studied with the aim of separating the contributions that the hydrogen content and structural defects make to the intrinsic compressive stress. The a-Si:H films were prepared by plasma-enhanced chemical vapour deposition. Silicon ions with an energy of 160 keV were implanted and the implantation-induced structural damage was studied by means of Raman backscattering spectroscopy. The stress in the films was compressive and its value correlated with the short and intermediate range orders. The results have shown that the value of compressive stress in the material could be lowered by changing the structural order of the silicon network without changing the hydrogen content.

Keywords: a-Si:H films; ion implantation; film stress

  • Journal of Physics D, Applied Physics, 34 (2001) 2589-2592

Permalink: https://www.hzdr.de/publications/Publ-4887
Publ.-Id: 4887


Cathodoluminescence of crystalline and amorphous SiO2 and GeO2

Fitting, H.-J.; Barfels, T.; Trukhin, A. N.; Schmidt, B.

Cathodoluminescence (CL) and its temperature-dose behaviour are presented for di•erent crystalline and amorphous modifications of SiO2 and GeO2 as well as for Ge-doped SiO2 layers. The crystalline samples include four-fold coordinated Si and Ge in hexagonal quartz and quartz-like crystals, respectively, as well six-fold coordinated atoms in tetragonal rutile-like crystals. The detected luminescence bands, in general, are attributed to three optical active luminescence centres: the two-fold coordinated silicon ( =Si:) and germanium ( =Ge:) centre, respectively, the nonbridging oxygen hole centre (NBOHC) and the self trapped exciton (STE). The first ones, the oxygen deficient centres (ODC), are especially developed in both, in the tetragonal crystal rutile-like modifications as well as in glassy states. The huge violet luminescence in Ge-implanted SiO2-layers is attributed to the two-fold coordinated Ge in the silica matrix.

Keywords: Cathodoluminescence; luminescent centers; SiO2; GeO2

  • Journal of Non-Crystalline Solids 279 (2001) 51-59

Permalink: https://www.hzdr.de/publications/Publ-4886
Publ.-Id: 4886


Cathodoluminescence depth profiling of Ge-implanted SiO2-layers

Fitiing, H.-J.; Barfels, T.; Schmidt, B.; von Czarnowski, A.

Thermally grown SiO2 layers of thickness d = 500 nm have been implanted by Ge+-ions of energy 350 keV and doses 5x1015 to 5x1016 ions/cm2. Thus Ge profiles with a concentration maximum at the depth of about dm = 240 nm are expected. Afterwards the layers have been investigated partially as implanted and after different thermal annealing up to Ta = 1100 °C for one hour in dry nitrogen.
For investigation of the luminescent center profile cathodoluminescence measurements are used under variation of the primary electron energy Eo = 0.5...30 keV. Applying a constant incident power regime Eo x jo = const the depth profiles of luminescent centers are deduced in comparable magnitude from the electron energy transfer profiles dE/dx.
After thermal annealing, not only the typical violet luminescence (at 400 nm) of the Ge centers is strongly increased but also the luminescent center profile is redistributed in a characteristic manner towards the surface. This process should be described by Ge diffusion processes, precipitation and finally Ge nanocluster formation.

Keywords: Cathodoluminescence; depth profiling; luminescent centers; thermal annealing

  • Solid State Phenomena 78-79 (2001) 119-126

Permalink: https://www.hzdr.de/publications/Publ-4885
Publ.-Id: 4885


Forward K+-Production in Subthreshold pA Collisions at 1.0 GeV

Koptev, V.

K+-meson production in pA ( A = C,Cu,Au) collisions has been studied using the ANKE spectrometer at an internal target position of the COSY-Jülich accelerator. The complete momentum spectrum of kaons emitted at forward angles, ϑ≤12°, has been measured for a beam energy of Tp = 1.0 GeV, far below the free NN threshold of 1.58 GeV. The spectrum does not follow a thermal distribution at low kaon momenta and the larger momenta reflect a high degree of collectivity in the target nucleus.

  • Phys. Rev. Lett. 87 (2001) 022301

Permalink: https://www.hzdr.de/publications/Publ-4884
Publ.-Id: 4884


Flash-lamp processing with millisecond pulses for ultra-shallow boron implants in silicon

Gebel, T.; Voelskow, M.; Eichhorn, F.; Skorupa, W.; Mannino, G.; Privitera, V.; Priolo, F.; Napolitani, E.; Carnera, A.

Higher integration in semiconductor technology causes the need for ultra-shallow junctions. Novel techniques are necessary to achieve abrupt and sharp profiles of dopants at high activation levels without significant diffusion of dopants. Because common RTP techniques are limited in temperature ramping speed, alternative methods for ultra-short time annealing are of great interest. In this paper we report on recent results from flash lamp annealing (FLA). Si (100) wafers were implanted with 500eV B+ ions to a fluence of 1015 cm-2. FLA was carried out at temperatures in the range 1100-1200°C with a soak time of 2-20ms using a bank of xenon flash-lamps. With this technique the final temperature is reached within one millisecond. Preheating of the samples from the rear side was performed at 250, 500 and 750°C by a bank of halogen lamps. For comparison conventional RTP was performed at 1100°C and 1200°C for the shortest reliable time of 1s and longer times up to 80 s. The boron diffusion and the dopant activation were investigated by secondary ion mass spectroscopy (SIMS) and spreading resistance profiling (SRP). The activated doses after FLA were as high as 20% of the implanted dose confined in a layer of only 60nm. The mechanical deformation induced to the samples due to the heat shock was investigated with X-ray reflection. The intensity of the specularly reflected beam (CuK radiation, scattering angle = 0.8°) is detected as a function of the incidence angle. The profile width and the distances between possible subsidiary peaks give integral values of the surface waveness.

Keywords: flash lamp annealing; ultra shallow junctions; RTP

  • Lecture (Conference)
    Ion Implantation Technology, IIT 2002 September 22-27, 2002, Taos, New Mexico, USA

Permalink: https://www.hzdr.de/publications/Publ-4874
Publ.-Id: 4874


Electrical characterization of SiO2 layers contaning Ge and Si nanoclusters

Gebel, T.

no abstract delivered from author

Keywords: nanocluster

  • Lecture (others)
    Naval Research Laboratory, Washington DC, USA, Nov. 17, 1999

Permalink: https://www.hzdr.de/publications/Publ-4873
Publ.-Id: 4873


Non-volatile memory effects of ion beam synthesized Ge and Si nanoclusters

Gebel, T.

no abstract delivered from author

Keywords: nanocluster; non-volatile memories

  • Lecture (others)
    California Institute of Technology, Pasadena (CA), USA, Oct. 18, 1999

Permalink: https://www.hzdr.de/publications/Publ-4872
Publ.-Id: 4872


Electrical properties of Si and Ge nanoclusters in SiO2

Gebel, T.

no abstract delivered from author

Keywords: nanocluster

  • Lecture (Conference)
    PhD - student symposium, Charles University, Prague, Czech Republic, Jun 22-25, 1999

Permalink: https://www.hzdr.de/publications/Publ-4871
Publ.-Id: 4871


Nanostrukturen in der Optoelektronik: Eigenschaften und Anwendungen

Gebel, T.; Rebohle, L.; Skorupa, W.

no abstract delivered from author

Keywords: Nanocluster; Si basierte Lichtemission; Biochips; Lab-on-a-Chip

  • Lecture (others)
    Vortrag an der Universität Tübingen, Inst. für Phys. Chemie, Tübingen (19.12.2000)

Permalink: https://www.hzdr.de/publications/Publ-4870
Publ.-Id: 4870


Microstructure and electrical properties of Ge and Si - implanted SiO2 layers

Gebel, T.

no abstract delivered from author

Keywords: Nanocluster

  • Lecture (others)
    Vortrag an der University of Catania, Italien (11. Oktober 2000)

Permalink: https://www.hzdr.de/publications/Publ-4869
Publ.-Id: 4869


Memory applications of SiO2 layers containing Ge and Si nanoclusters

Gebel, T.

no abstract delivered from author

  • Lecture (others)
    Vortrag am Naval Research Laboratory, Washington DC (USA), 23. Mai 2000

Permalink: https://www.hzdr.de/publications/Publ-4868
Publ.-Id: 4868


Blaues Licht aus Silizium - integrierbare Lösungen für die optische Kommunikation

Gebel, T.

no abstract delivered from author

Keywords: Nanocluster

  • Lecture (Conference)
    VDI-Forum, Hannover-Messe 2000, Hannover, 22. März 2000

Permalink: https://www.hzdr.de/publications/Publ-4867
Publ.-Id: 4867


Nanostrukturen für die Mikroelektronik

Gebel, T.

no abstract delivered from author

Keywords: Nanocluster; Speicher; Lichtemitter

  • Lecture (others)
    Messe "Karrierestart 2000", Dresden (22. Jan. 2000)

Permalink: https://www.hzdr.de/publications/Publ-4866
Publ.-Id: 4866


Ion beam synthesis based formation of Si-and Ge-rich thermally grown silicon dioxide layers for memory applications

Gebel, T.; Thees, H.-J.; von Borany, J.; Wittmaack, M.; Stegemann, K.-H.; Skorupa, W.

Ion beam synthesis (IBS) is a versatile instrument to circumvent obstacles dictated by the thermal equilibrium. The basic steps to perform ion beam synthesis include ion beam irradiation with stoichiometric doses into a target kept at a certain temperature followed by another dedicated annealing step. On the other hand the actual EEPROM´s basing on floating gate transistors are driven to their limits of performance. An advanced very promising approach to circumvent these problems bases on the concept of Si-and Ge-nanoclusters embedded into silicon dioxide layers using IBS.
We have performed room temperature implantation of high fluences (3..9x1015 cm-2) of silicon and germanium into silicon dioxide layers with a thickness in the range 20-30 nm. This was followed by an annealing step at 950°C for 30 sec using Rapid Thermal Annealing (RTP). The microstructure and the electrical properties were investigated using a variety of methods. It will be shown that this type of processing leads to the formation of nanoclusters and the formation of trapping centres within the silicon dioxide layers. First device tests look promising.

Keywords: nanocluster; memory

  • Poster
    MRS Fall Meeting, Boston (USA), 27.11.-1.12.2000

Permalink: https://www.hzdr.de/publications/Publ-4865
Publ.-Id: 4865


Microstructural and electrical properties of SiO2 layers containing Ge and Si nanoclusters

Gebel, T.; von Borany, J.; Klimenkov, M.; Skorupa, W.; Thees, H.-J.; Wittmaack, M.; Stegemann, K.-H.

In the last years nanoclusters attracted much attention because of their outstanding properties for the use in opto- and microelectronics. As an example nanocrystal memories are a promising approach towards new scalable non-volatile memory structures [1, 2]. Because of their low programming voltages and the direct tunneling process for charging they overcome limitations of currently used flash EEPROM technologies. The simple structure and the possible process integration with only a few more additional process steps make this type of memory a well-suited candidate for applications in embedded systems. An effective method of producing nanoclusters in SiO2 is ion beam synthesis using Ge - or Si - implantation and subsequent annealing. This method allows the precise control over the distribution as well as the number of implanted ions and complies with common silicon technology.
This work is focused on the comparison of the properties of Si and Ge nanoclusters prepared by ion beam synthesis. Thin SiO2 films (20 and 30 nm,) were thermally grown on n-type (100) Si) and implanted with Ge+ (12 and 20 keV) and Si+ (6 and 12 keV) ions. Subsequently rapid thermal annealing was performed at 950°C for 30 s under a nitrogen atmosphere. Following that a poly-Si layer (300 nm) was deposited by LPCVD and subsequently doped with P+ ions. The poly-Si layer was etched to form the gate electrode of a MOS capacitor and several additional thermal treatment steps were carried out.

Microstructural investigations (XTEM, RBS and XPS) of Ge clusters showed dependent on the experimental conditions either only one volume cluster band or a two band structure consisting of one cluster band near the interface SiO2/Si and one volume band. All clusters were found in the amorphous state. As an example for 30 nm SiO2 layers implanted with 20 keV Ge+ ions to a dose of 5x1015 cm-2 show a sharp cluster band with a cluster density of 3.5x1011 cm-2 ( 50 %) in a distance of about 3 nm to the interface Si / SiO2. This structure is therefore of large interest for memory applications.
The process leading to the formation of this interface cluster band is based on the dynamics of the ion implantation. A model based on TRIM calculations, rate equation studies and 3D - kinetic Monte Carlo simulations explains this self organization process [3]. Small Si agglomerates are formed during implantation due to collisional mixing and near interface oxygen diffusion. During the annealing process they act as nucleation centers and diffusing Ge from the implanted Ge maximum is trapped at these centers and forms clusters. As a result a sharp - like cluster band is formed.

Charge storage effects of the MOS capacitors have been studied through I-V and high frequency C-V measurements after FN stress. For Ge implanted layers, samples containing bulk and interface clusters show larger programming window sizes but worse retention characteristics than samples with bulk clusters only. This means, that direct tunneling leads to a faster discharging of the clusters near the interface.
Memory effects of Ge and Si nanocrystal based memory structures were reported to be similiar in Ref. [2]. In our work however the behavior of Ge and Si nanocluster based MOS-capacitors was found to be different. The programming window using 6 V / 100 ms pulses for Ge based structures is larger than that for Si (2.0 V vs. 0.2 V).
In Fig. 1 investigations of the retention characteristics are shown. The samples have been stressed with pulses of 15 V / 10 ms. After the stress the samples were stored at a temperature of 250°C to get information about long term stability. The Si clusters show a large programming window even after 90 h storage at the elevated temparature. This implies, that Si clusters seem to be more promising for non-volatile memory applications. However, Ge clusters could be interesting for memory applications which do not require long retention times.

Keywords: nanocluster; memory

  • Lecture (Conference)
    197th Meeting of the Electrochemical Society, Toronto (Kanada), 14.-18.05.2000

Permalink: https://www.hzdr.de/publications/Publ-4864
Publ.-Id: 4864


Electrical characterization of thin SiO2 layers containing Ge / Si nanoclusters

Gebel, T.; von Borany, J.; Rebohle, L.; Skorupa, W.; Thees, H.-J.; Wittmaack, M.; Stegemann, K.-H.

no abstract delivered from author

  • Poster
    NATO Advanced Study Institute, "Defects in SiO2 and related Dielectrics: Science and Technology", Erice (Sizilien, Italien), 8.-20.04.2000

Permalink: https://www.hzdr.de/publications/Publ-4863
Publ.-Id: 4863


Institute of Nuclear and Hadron Physics & Radiation Source ELBE; Annual Report 2001

Dönau, F.; Enghardt, W.; Grosse, E.; Kämpfer, B.; Lehnert, U.; Michel, P.; Schlett, M.; (Editors)

kein Abstract

  • Open Access Logo Wissenschaftlich-Technische Berichte / Forschungszentrum Rossendorf; FZR-341 April 2002
    ISSN: 1437-322X

Downloads

Permalink: https://www.hzdr.de/publications/Publ-4862
Publ.-Id: 4862


Investigation of MOS structures with embedded Si-nanocrystals obtained by r.f.-sputtering

Schmidt, J. U.; Schmidt, B.

no abstract delivered from author

  • Poster
    12th Int. School VEIT´01, Varna, Bulgaria, Sept.17-21, 2001

Permalink: https://www.hzdr.de/publications/Publ-4861
Publ.-Id: 4861


Die unsichtbarte Kraft - Ionen veredeln Materialoberflächen

Richter, E.

no abstract delivered from author

Keywords: Ionenimplantation; Plasma-Immersions-Ionenimplantation; Edelstahl; Aluminium

  • Lecture (others)
    Werkstoff-Forum, Hannover Messe 2001, 23.-27. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4860
Publ.-Id: 4860


Industrielles Anwendungspotential der Plasma-Immersions-Ionenimplantation

Richter, E.

no abstract delivered from author

Keywords: Plasma-Immersions-Implantation; Industrielle Anwendungen

  • Lecture (others)
    Innovationsforum "Neuartige Applikationsfelder für plasmagestützte Oberflächentechnik, Zittau, 5.-6. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4859
Publ.-Id: 4859


Integrated high voltage modulator for plasma immersion implantation

Rogozin, A.; Günzel, R.

no abstract delivered from author

  • Lecture (Conference)
    6.th Int. Workshop on Plasma-based Ion Implantation, Grenoble, June 25-28, 2001

Permalink: https://www.hzdr.de/publications/Publ-4858
Publ.-Id: 4858


Growth kinetic and properties of nitride layers produced by loe energy ion nitriding and plasma immersion ion implantation of Al and Al alloys

Richter, E.; Telbizova, T.; Möller, W.

no abstract delivered from author

  • Lecture (Conference)
    SMMIB, Marburg, 9.-14. September 2001

Permalink: https://www.hzdr.de/publications/Publ-4857
Publ.-Id: 4857


Ion beam synthesis of magnesium diboride

Richter, E.; Prokert, F.; Reuther, H.; Helm, M.

no abstract delivered from author

  • Lecture (Conference)
    SMMIB, Marburg, 9-14. September 2001

Permalink: https://www.hzdr.de/publications/Publ-4856
Publ.-Id: 4856


Modification of titanium surface by its alloying with silicon using intensive pulsed plasma beams

Richter, E.; Piekoszeski, J.; Wieser, E.; Prokert, F.; Stanislawski, J.; Walis, L.; Reuther, H.

Surface alloying of Ti with Si has been performed with the use of high intensity pulsed plasma beams. In this technique, short, intense (1 µs, 3-4 J/cm²) plasma pulses serve as a source of heat to melt the near surface layer (up to 2 µm) of the Ti substrate togehter with a Si film (30 and 70 µg/cm²) pre-deposited on it. In the molten state, lasting a couple of microseconds, rapid diffusion of Si into Ti occurs leading to formation of new phases during rapid solidification of the molten surface layer. In the present work, an attempt has been undertaken to improve the mechanical properties of titanium via precipitation of hard stable phases in the Ti-Si system, is formed by the transient heat treatment. During subsequent annealing for 1 h at 800°C a fraction (14-43%) of Ti5Si3 transforms into TiSi. The presence of silicide precipitates in the near surface region layer of titanium increases dramatically its wear resistance.

Keywords: Surface alloying; pulsed plasma beams; Ti substrate

  • Lecture (Conference)
    SMMIB, Marburg, 9.-14. September 2001
  • Surface and Coatings Technology 158-159 (2002) 324-327

Permalink: https://www.hzdr.de/publications/Publ-4855
Publ.-Id: 4855


AMS measurements of the 25Mg(p,gamma)26Al reaction at stellar energies

Arazi, A.; Bürger, W.; Faestermann, T.; Niello, J. F.; Knie, K.; Korschinek, G.; Richter, E.; Rugel, G.; Wallner, A.; Wallner, C.

no abstract delivered from author

  • Lecture (Conference)
    DPG-Tagung, Erlangen, 20. März 2001
  • Lecture (Conference)
    Astronomy with radioactivities III, Ringberg, 23.-26. Mai 2001
  • Lecture (Conference)
    International Conference on Applications of High Precision Atomic & Nuclear Methods, september 2-5, 2002, Neptun, Romania
  • Lecture (Conference)
    XXIV Brazilian Workshop on Nuclear Physics, august 31 - september 4, 2002, Dao Pablo, Brasil
  • Lecture (Conference)
    9th Accelerator Mass Spectrometry Conference, September 9-13, 2002, Nagoya, Japan

Permalink: https://www.hzdr.de/publications/Publ-4853
Publ.-Id: 4853


Elastic recoil detection analysis of ion-exchanged soda-lime glass substrates for a-Si:H devices

Pantchev, B.; Danesh, P.; Kreissig, U.; Schmidt, B.

Elastic recoil detection analysis has been used to study the interaction between the soda-lime glass substrates and the a-Si:H films. It has been established that H and Na ions penetrate from the near-surface region of the substrate into the deposited film. The results have shown that the influence of the substrate on the properties of a-Si:H can be strongly reduced by glass surface modification using the processes of ion exchange and ion extraction.

Keywords: elastic recoil detection analysis; soda-lime glass; ion exchange; hydrogenated amorphous silicon

  • Lecture (Conference)
    International Summer School VEIT 2001, Varna, Bulgaria, Sept. 17-21, 2001
  • Vacuum 69 (2003) 289

Permalink: https://www.hzdr.de/publications/Publ-4852
Publ.-Id: 4852


Application and Improvement of the spreading resistance method for p-type 6H-SiC

Gebel, T.; Panknin, D.; Riehn, R.; Parascandola, S.; Skorupa, W.

Since the end of the 1960's spreading resistance (SR) measurements have become a routinely used technique for determining charge carrier profiles in silicon. For wide band gap semiconductors however the application of this method is difficult because of the high barrier at the interface between probe tips and the semiconductor surface. In order to lower the barrier two different approaches can be taken. First, the material of the tips could be changed with respect to its work function. But there is a limited choice because of the required mechanical properties of the tips concerning high hardness and low brittleness. The other way is to lower the barrier by influencing the surface states of the semiconductor material. This is actually more promising.
A strong dependence of the SR values on the polishing material for beveling was reported in investigations for the wide band gap semiconductor GaAs [1]. For laser annealed 6H-SiC [2] and 3C-SiC [3] SR measurements have been reported, but very high measuring voltages (1 to 5 V) were used compared to the usual measurements on Si (10 mV). Ahmed et al. found an activation of more than 100% which points to problems in the interpretation of the measurement [2].

In this work the influence of mechanical processing, further annealing of the bevel and finally sputter cleaning on the SR measurements was investigated. The SiC - surface was beveled with a diamond emulsion on a rotating glass plate. Grain sizes of 1.0 µm and 0.1 µm were used. It was found that polishing with the smaller grain size leads to lower resistances. This is comparable to the results which are known for GaAs with Al2O3 emulsion from Ref. [1]. After beveling several of the samples were annealed under vacuum for 5 min at temperatures of 1300 to 1400°C. A modified thin layer (1 to 2 nm) at the surface was formed which resulted in a lowering of the barrier resistance. The measured resistance was lowered up to a factor of three but statistical variations increased.
In order to lower the barrier further, the influence of ion sputtering (Ar+, 2 keV) on the bevel before the measuring procedure was tested. The sputtering was carried out at a current density of 50 µAcm-2 for 300 s. During the process the temperature increased to 57 to 69°C. Subsequent SR measurements showed up to two orders of magnitude lower resistance. The shape of the depth profile remained the same and the statistical error of the SR dropped significantly. Samples with resistivities larger than 0.2 cm were not measurable after beveling but after subsequent sputtering. The resistivity range in which SR measurements can be carried out was extended to 3 cm. First investigations of SR depth profiles using this sputtering method show a very good reproducibility at remarkably small variations of the measured values.
The samples were implanted with Al+ at multiple energies of 450, 240, 115 and 50 keV to form a 500 nm thick homogeneously doped layer with plateau concentrations in the range of 5 1019 to 5 1021 cm-3. Various post annealing processes were carried out to cover a broad range of resistivities with the samples. Resistivities and mobilities were obtained from Hall measurements [4]. For SR profiling a SENTECH SR-210 device was used. The probe tips were made of sintered tungsten carbide with a tip diameter of 5 µm. The measuring voltage was kept constant at 10 mV allowing to measure resistance values up to 1 G . The highest spatial resolution of the apparatus was 5 nm and the probe tip load during the measurements 9 g.

References:

[1] G. Queirolo, J. Electrochem. Soc., 125 (10), 1672 (1978).
[2] S. Ahmed, C.J. Barbero and T.W. Sigmon, Appl. Phys. Lett. 66 (6), 712 (1995).
[3] J. A. Edmond, S.P. Withrow, W. Wadlin, R.F. Davis, Mat. Res. Soc. Symp. Proc.,
Vol. 77, 193 (1987).
[4] D. Panknin , H. Wirth, M. Mücklich, W. Skorupa, Mat. Sci. Engin. B56 (1999), in print

Keywords: Spreading resistance

  • Lecture (Conference)
    ICSRCM Conference on SiC and related materials, Raleigh (NC) USA, 10.-15.10.1999
  • Mat. Sci. Forum 338-342 (2000) 741

Permalink: https://www.hzdr.de/publications/Publ-4851
Publ.-Id: 4851


Ion beam synthesized group IV nanoclusters in SiO2 layers: a promising approach for non-volatile memories and silicon-based light emitters

Gebel, T.; Rebohle, L.; Zhao, J.; von Borany, J.; Stegemann, K.-H.; Mrstik, B.; Skorupa, W.

Ion beam synthesis (IBS) as a powerful tool for the modification of ultrathin layers allows the formation of functional nanostructured layers for micro- and optoelectronics. Such modified SiO2 layers are promising candidates for future non-volatile memory devices. Furthermore SiO2 layers containing nanostructures produced by IBS using group IV elements show strong blue-violet photo- and electroluminescence (EL) which is of great interest for novel optoelectronic devices.
In this paper we will report on our recent progress in the microstructural and electrical investigation of Ge and Si rich silicon dioxide layers. The group IV elements were implanted into thermally grown SiO2 layers to atomic concentrations of 0.3 .. 6% followed by different annealing steps. The microstructural properties were investigated using TEM, RBS and EDX. Electrical measurements using IV, Photo - IV and CV methods were focused on the injection and conduction mechanism as well as charge storage properties. The determined position of the charge centroid correlates well with the microstructural results. It will also be shown by a method combining IV and CV measurements that not only electron injection from the substrate but also hole injection from the top electrode takes place. A direct comparison of these results to the EL properties provides new impact in the understanding of the EL excitation mechanism.

Keywords: nanocluster; memory; Si based light emitter

  • Lecture (Conference)
    MRS Spring Meeting, San Francisco (USA), 16.-20.04.2001

Permalink: https://www.hzdr.de/publications/Publ-4850
Publ.-Id: 4850


Silizium basierte Lichtemitter: Neue Möglichkeiten für Lab-on-Chip Systeme ?

Gebel, T.; Rebohle, L.; Howitz, S.; von Borany, J.; Skorupa, W.

In den hier beschriebenen Untersuchungen werden Nanostrukturen durch Ionenimplantation in thermisch auf einen Siliziumwafer (100, n-Typ) aufgewachsene SiO2 - Schichten erzeugt. Im Anschluß an die Ionenimplantation werden durch eine Temperung Strahlenschäden ausgeheilt und die Clusterbildung angeregt. Die so erhaltenen Nanocluster weisen Größen von 4 ... 6 nm auf. Als Frontkontakt der Lumineszenzstrukturen wird eine aufgesputterte transparente Indium-Zinnoxid (ITO) - Schicht lithographisch in kreisrunde Flächen von 0.2mm2 strukturiert. Der Rückseitenkontakt wird durch Al - Beschichtung der Wafersrückseite hergestellt.
Die Metall-Oxid-Halbleiter (MOS) - Anordnung mit clusterhaltigen Schichten wird durch eine zwischen der ITO - Deckelektrode und dem Si - Substrat angelegte Spannung zur Elektrolumineszenz (EL) angeregt. Die emittierte Lichtleistung hängt linear von der Anregungsleistung ab. Für Untersuchungen zur Photolumineszenz (PL) erfolgte die Anregung mit einer Xenon - Lampe bei einer Wellenlänge von 250 nm. Das Spektrum des emittierten Lichts liegt im blau/violetten Wellenlängenbereich und enthält zudem noch einen beträchtlichen UV - Lichtanteil. Die erzielten Leistungseffizienzen erreichen Werte bis zu 0.5%.
Ab Lichtleistungen von 10 nW, also bei ca. 5 µWcm-2 , ist das Licht mit bloßem Auge sichtbar. Maximale Lichtleistungen liegen derzeit bei einigen Hundert nW. Durch eine Verbesserung der Kantenpassivierung der Emitterstrukturen ist eine weitere Erhöhung der Betriebsleistung möglich.
Derzeit sind die für die Fluoreszenzanalyse verwendeten bioaktiven Substanzen nahezu alle auf die häufig verwendeten 633 nm - Laser optimiert. Es sind jedoch alternativ Farbstoffe, die blau/violett oder im UV angeregt werden können, verfügbar. Damit könnten derart integrierbare, in Siliziumtechnologie hergestellte Emitter - Strukturen in Lab-on-a-Chip Systemen Anwendung finden. Zudem wird eine ortsaufgelöste Anregung möglich, da die Lichtemitter mittels gängiger Photolithographie einfach strukturiert und dann durch entsprechende Chip - Ansteuerung gezielt geschaltet werden können. Eine kostengünstige Realisierung der Strukturen würde sogar die Verwendung als "Disposable" ermöglichen.

Im Vortrag werden die optischen und elektrischen Eigenschaften der Emitter vorgestellt. Ausgehend vom jetzigen Erkenntnisstand werden Anwendungen, wie z. B. ein integrierter Optokoppler, und Perspektiven siliziumbasierter Lichtemitter auf der Basis von Nanostrukturen aufgezeigt. Für zukünftige Applikationen sind dabei insbesondere Möglichkeiten der Einstellung der Wellenlänge durch geeignete Herstellungsvarianten interessant. Die internationalen Aktivitäten hinsichtlich der Realisierung von Si - basierten Lasern versprechen weitere interessante Aspekte für die Anwendung derartiger Strukturen.

Keywords: Biosensorik; nanocluster; Si based light emission

  • Poster
    2. Biosensor Symposium, Tübingen (Germany) 01.-03. April 2001

Permalink: https://www.hzdr.de/publications/Publ-4849
Publ.-Id: 4849


Pages: [1.] [2.] [3.] [4.] [5.] [6.] [7.] [8.] [9.] [10.] [11.] [12.] [13.] [14.] [15.] [16.] [17.] [18.] [19.] [20.] [21.] [22.] [23.] [24.] [25.] [26.] [27.] [28.] [29.] [30.] [31.] [32.] [33.] [34.] [35.] [36.] [37.] [38.] [39.] [40.] [41.] [42.] [43.] [44.] [45.] [46.] [47.] [48.] [49.] [50.] [51.] [52.] [53.] [54.] [55.] [56.] [57.] [58.] [59.] [60.] [61.] [62.] [63.] [64.] [65.] [66.] [67.] [68.] [69.] [70.] [71.] [72.] [73.] [74.] [75.] [76.] [77.] [78.] [79.] [80.] [81.] [82.] [83.] [84.] [85.] [86.] [87.] [88.] [89.] [90.] [91.] [92.] [93.] [94.] [95.] [96.] [97.] [98.] [99.] [100.] [101.] [102.] [103.] [104.] [105.] [106.] [107.] [108.] [109.] [110.] [111.] [112.] [113.] [114.] [115.] [116.] [117.] [118.] [119.] [120.] [121.] [122.] [123.] [124.] [125.] [126.] [127.] [128.] [129.] [130.] [131.] [132.] [133.] [134.] [135.] [136.] [137.] [138.] [139.] [140.] [141.] [142.] [143.] [144.] [145.] [146.] [147.] [148.] [149.] [150.] [151.] [152.] [153.] [154.] [155.] [156.] [157.] [158.] [159.] [160.] [161.] [162.] [163.] [164.] [165.] [166.] [167.] [168.] [169.] [170.] [171.] [172.] [173.] [174.] [175.] [176.] [177.] [178.] [179.] [180.] [181.] [182.] [183.] [184.] [185.] [186.] [187.] [188.] [189.] [190.] [191.] [192.] [193.] [194.] [195.] [196.] [197.] [198.] [199.] [200.] [201.] [202.] [203.] [204.] [205.] [206.] [207.] [208.] [209.] [210.] [211.] [212.] [213.] [214.] [215.] [216.] [217.] [218.] [219.] [220.] [221.] [222.] [223.] [224.] [225.] [226.] [227.] [228.] [229.] [230.] [231.] [232.] [233.] [234.] [235.] [236.] [237.] [238.] [239.] [240.] [241.] [242.] [243.] [244.] [245.] [246.] [247.] [248.] [249.] [250.] [251.] [252.] [253.] [254.] [255.] [256.] [257.] [258.] [259.] [260.] [261.] [262.] [263.] [264.] [265.] [266.] [267.] [268.] [269.] [270.] [271.] [272.] [273.] [274.] [275.] [276.] [277.] [278.] [279.] [280.] [281.] [282.] [283.] [284.] [285.] [286.] [287.] [288.] [289.] [290.] [291.] [292.] [293.] [294.] [295.] [296.] [297.] [298.] [299.] [300.] [301.] [302.] [303.] [304.] [305.] [306.] [307.] [308.] [309.] [310.] [311.] [312.] [313.] [314.] [315.] [316.] [317.] [318.] [319.] [320.] [321.] [322.] [323.] [324.] [325.] [326.] [327.] [328.] [329.] [330.] [331.] [332.] [333.] [334.] [335.] [336.] [337.] [338.] [339.] [340.] [341.] [342.] [343.] [344.] [345.] [346.] [347.] [348.] [349.]