Publications Repository - Helmholtz-Zentrum Dresden-Rossendorf

"Online First" included
Approved and published publications
Only approved publications

41490 Publications

Ion beam synthesis of 4H-(Si1-xC1-y)Gex+y solid solutions

Pezoldt, J.; Kups, T.; Voelskow, M.; Skorupa, W.

4H-(Si1-xC1-y)Gex+y solid solutions with a Ge incorporation on lattice site from 0.7 to 2.5 percent were formed by ion beam synthesis. The concentration was varied from 1 to 20 % by implanting Ge at 600 C. The implanted samples were annealed at 1300 C in a rapid thermal processing equipment.

Keywords: implantation Ge into SiC; RTA; RBS

  • Lecture (Conference)
    EXMATEC 06, 15.-17.05.2006, Cadiz, Spain

Permalink: https://www.hzdr.de/publications/Publ-9365


Silicon-Germanium Heterostructure-on-Insulator formed by Ge+ Ion Implantation and Hydrogen Transfer

Popov, V. P.; Tyschenko, I.; Cherkov, A.; Voelskow, M.

Using bulk silicon can be ended for 32 nm technological nodes. New type of substrates needs for further scaling in CMOS microelectronics. We speculate that these new type of materials will be semiconductor heterostructure on insulator (SHI) compatible with current silicon planar CMOS technology. Joint semiconductor material stack placed on cheap amorphous dioxide is presented. First of all thin film SiGe heterostructure properties is considered. It was obtain using Ge ion implantation in dioxide with followed Ge segregation to the directly bonded interface between silicon and silicon dioxide wafers. The method is also compatible with A3B5 thin film formation.

Keywords: Si-Ge heterostructures; ion implantation

  • Lecture (Conference)
    210th Electrochemical Society Meeting, 29.10.-03.11.2006, Cancun, Mexico
  • ECS Transactions 3(2006)7, 303-307

Permalink: https://www.hzdr.de/publications/Publ-9364


Development of a Superconducting RF Photoinjector

Teichert, J.

A superconducting rf photo electron injector (SRF gun) is under development at the Forschungszentrum Rossendorf. The project aims at several issues: improvement of the beam quality for the ELBE superconducting electron linac, demonstration of feasibility of this gun type, investigation of critical components, and parameter studies for future application (BESSY-FEL, 4GLS). The design layout of the SRF photo-injector, the parameters of the superconducting cavity and the expected electron beam parameters are presented. The SRF gun has a 3+1/2-cell niobium cavity working at 1.3 MHz and will be operated at 2 K. The three full cells have TESLA-like shapes whereas the half-cell has an special form obtained from numerical optimization. In the half-cell a Cs2Te photocathode is situated which will be cooled by liquid nitrogen. In 2005, the main parts for He cryostat like vacuum vessel, cryogenic and magnetic shields were fabricated. Test benches for the cathode cooling system and the cavity tuner were assembled and the measurements performed. The photo cathode preparation lab has been arranged, and the diagnostic beam line has been designed. After delivery of the Nb cavity, its rf properties were measured. The cavity was tuned and its chemical treatment is started.

  • Lecture (Conference)
    DPG - Tagung, 27.03.2006, Dresden, Germany

Permalink: https://www.hzdr.de/publications/Publ-9363


Effect of elevated substrate temperature on growth, properties, and structure of indium tin oxide films prepared by reactive magnetron sputtering

Rogozin, A.; Vinnichenko, M.; Shevchenko, N.; Vazquez, L.; Mücklich, A.; Kreissig, U.; Yankov, R. A.; Kolitsch, A.; Möller, W.

The paper correlates the growth and structure formation with the properties of indium-tin-oxide (ITO) films fabricated by pulsed reactive magnetron deposition onto amorphous substrates held at elevated temperatures ranging from RT to 510 °C. The evolution of the microstructure is consistent with the well-known structure zone model. The temperature dependence of the film texture is described with consideration of the interplay between the shadowing and surface diffusion processes. It is shown that deposition at elevated temperatures lowers the crystallization threshold and is more effective in reducing resistivity than the post-deposition vacuum annealing at comparable temperatures. The films grown at a substrate temperature of 400 and 510 °C have the lowest resistivity of 1.2*10^-4 Ohm•cm, the highest free electron density of 1.2 - 1.0*10^21 cm^-3, and mobility of 35 - 42 cm^2•V^-1•s^-1 while showing the strongest (222) texture with the largest grain size.

Keywords: indium-tin-oxide; reactive pulsed magnetron sputtering; electrical properties; texture

  • Journal of Materials Research 22(2007)8, 2319-2329

Permalink: https://www.hzdr.de/publications/Publ-9361


Multimodal electronic-vibronic spectra of luminescence in ion-implanted silica layers

Fitting, H.-J.; Salh, R.; Schmidt, B.

Thermally oxidized SiO2 layers of 100 and 500 nm thickness have been implanted by oxygen and sulfur ions with a dose of 3x1016 and 5x1016 ions/cm2, respectively, leading to an atomic dopant fraction of about 4 at.% at the half depth of the SiO2 layers. The cathodoluminescence spectra of oxygen and sulfur implanted SiO2 layers show besides characteristic bands a sharp and intensive multimodal structure beginning in the green region at 500 nm over the yellow-red region extending to the near IR measured up to 820 nm. The energy step differences of the sublevels amount on average 120 meV and indicate vibration associated electronic states, probably of O2-interstitial molecules, as we could demonstrate by a respective configuration coordinate model.

Keywords: ion implantation; SiO2; CL-luminesence

  • Journal of Luminescence 122(2007)SI JAN-APR, 743-746

Permalink: https://www.hzdr.de/publications/Publ-9360


Sorption Data Bases ("PA ready"): Proposed next steps towards a data base

Brendler, V.; Kienzler, B.; Lützenkirchen, J.

  • wird nachgereicht
  • Invited lecture (Conferences)
    2nd Annual FUNMIG Workshop, 21.-23.11.2006, Stockholm, Sweden

Permalink: https://www.hzdr.de/publications/Publ-9358


Mechanistische Sorptionsmodelle - gegenwärtiger Stand und Perspektiven für die Langzeitsicherheitsanalyse

Brendler, V.

  • wird nachgereicht
  • Invited lecture (Conferences)
    Vortrag bei der GRS Braunschweig, 14.07.2006, Braunschweig, Germany

Permalink: https://www.hzdr.de/publications/Publ-9357


Grundlagen der geochemischen Modellierung

Brendler, V.

  • wird nachgereicht
  • Invited lecture (Conferences)
    Seminar am Dresdner Grundwasserforschungszentrum (DGFZ) e.V., 15.09.2006, Dresden, Germany

Permalink: https://www.hzdr.de/publications/Publ-9356


Verlässliche Daten, Modelle und Codes für die Geochemie

Brendler, V.

  • wird nachgereicht
  • Invited lecture (Conferences)
    Festkolloquium für PD Dr. M. Richter, 20.10.2006, Leipzig, Germany

Permalink: https://www.hzdr.de/publications/Publ-9355


Thermodynamische Datenbasis für das Nahfeld: aktueller Stand

Brendler, V.

  • wird nachgereicht
  • Invited lecture (Conferences)
    2. Fachgespräch zur Radionuklidmigration bei PTKA, 25.-26.10.2006, Karlsruhe, Germany

Permalink: https://www.hzdr.de/publications/Publ-9354


Overview of Current Thermodynamic Databases

Brendler, V.

  • wird nachgereicht
  • Invited lecture (Conferences)
    12th ISSP - International Symposium on Solubility Phenomena and Related Equilibrium Processes, 23.-28.07.2006, Freiberg, Germany

Permalink: https://www.hzdr.de/publications/Publ-9353


SiGe heterostructures-on-insulator produced by Ge+-ion implantation and subsequent hydrogen transfer

Tyschenko, I. E.; Cherkov, A. G.; Voelskow, M.; Popov, V. P.

Strong decrease in the carrier mobility of the nanometer-thick silicon films imposes a limitation on the application of silicon-on-insulator (SOI) structures in the current silicon planar CMOS technology. The formation of SiGe-heterostructures-on-insulator (HOI) is a way to increase the carrier mobility in the nanometer-scale layers. In this work, we present the results on the interface mediated endotaxial (IME) growth of thin Ge film from the Ge+-ion implanted SiO2 layer of the SOI structure.

Keywords: SOI; implantation

  • Lecture (Conference)
    XII Gadest Conference 2007, 14.-19.10.2007, Erice, Italy
  • Solid State Phenomena 131-133(2008), 143-147

Permalink: https://www.hzdr.de/publications/Publ-9352


Crystallization of InSb phase near the bonding interface of silicon-on-insulator structure

Tyschenko, I. E.; Cherkov, A. G.; Voelskow, M.; Popov, V. P.

Bonding interface of SOI structure may be an effective sink for impurity atoms because of higher concentration of vacancies and microvoids on the cleavage planes. The behaviour of ion-implanted Sb and In atoms near the bonding interface of SOI structures was investigated as a function of annealing temperature.

Keywords: SOI; implantation; Sb; In

  • Lecture (Conference)
    XII Gadest Conference 2007, 14.-19.10.2007, Erice, Italy
  • Solid State Phenomena 131-133(2007), 137-142

Permalink: https://www.hzdr.de/publications/Publ-9350


Process control and melt depth homogenization for SiC-on-Si structures during flash lamp annealing by carbon implantation

Smith, M. P.; Mcmahon, R. A.; Voelskow, M.; Skorupa, W.; Stoemenos, J.; Ferro, G.

Flash lamp annealing in the millisecond regime of heteroepitaxial silicon carbide on silicon structures involves melting the silicon below the SiC layer, but the deep faceted nature of the liquid-solid interface leads to unacceptable surface roughness. This paper describes a method of controlling melting by implanting a high dose of carbon at a controlled depth below the Si/SiC interface, which significantly alters the melting characteristics of the silicon. This technology has also been applied to SiC and Si multilayer heterostructures. Results confirm the effectiveness of this approach for increasing surface uniformity, making liquid phase processing compatible with standard device fabrication techniques. A thermal model has also been developed to describe this process and results indicate that the theoretical work is consistent with the experimental evidence. The model is a valuable tool for predicting the onset of melting, maximum temperatures, and process windows for controlled liquid phase epitaxy.

Keywords: silicon carbide; ion implantation; flash lamp processing; liquid phase processing; modelling

Permalink: https://www.hzdr.de/publications/Publ-9349


Charge trapping phenomena in high efficiency Metal-Oxide-Silicon light-emitting diodes with ion-implanted oxide

Nazarov, A.; Osiyuk, I.; Tyagulskii, I.; Lysenko, V.; Prucnal, S.; Sun, J. M.; Skorupa, W.

This work is a comparative study of the processes of charge trapping in silicon dioxide layers doped with different rare-earth impurities (Gd, Tb, Er) as well as with Ge. Diode structures incorporating such oxide layers exhibit efficient electroluminescence (EL) in the spectral range from UV to IR.
Ion implantation was performed over a wide dose range using doses chosen to provide impurity concentrations of 0.1 to 3 at. % with the implant profiles peaking in the middle of the oxide. Post-implantation anneals were carried out at different temperatures. An ITO layer was employed as the transparent gate electrode for the implanted SiO2/Si light emitting diodes (LEDs).
Charge trapping was studied using an electron injection technique at constant current regime in the range of 1013 to 1021 e/cm2 with simultaneous measurements of the EL intensity. High-frequency C/V characteristics were used to control the net charge in the oxides. The I/V characteristics and the EL intensity vs. applied voltage were also measured.
Analysis of the charge trapping and the variation of the EL intensity during electron injection shows that the current density range can be conveniently divided in three portions: (i) low injection level, where electron/hole capture at traps with a large capture cross-sections and low EL intensity occurs; (ii) medium injection level corresponding to the main operation mode of the LEDs (odd hole trapping depending on the injected current level is observed); and (iii) high injection level (electrical quenching of the EL, which correlates with electron capture at traps of extremely small capture cross-sections of about 10-21 cm2 takes place). The parameters of the hole and electron traps are determined.
The nature of specific electron hole trapping at the medium injection level in RE doped MOSLEDs is discussed. Mechanisms of EL quenching at the high injection level are proposed.

Keywords: chare trapping; electroluminescence; ion implantation; light emitting diodes; rare earths; germanium

  • Lecture (Conference)
    European Materials Research Society (EMRS) Spring Meeting, 29.05.-02.06.2006, Nizza, France

Permalink: https://www.hzdr.de/publications/Publ-9348


The effect of potassium and fluorine implantation on the electroluminescence stability in Gd and Tb implanted SiO2 layers

Prucnal, S.; Sun, J. M.; Reuther, H.; Skorupa, W.

Metal-Oxide-Silicon-based light emitting diodes (MOSLEDs) with Gd and Tb implanted SiO2 layers exhibit strong ultra violet and green electroluminescence (EL) at 316 nm and 541 nm, respectively. If amorphous SiO2 is bombarded with energetic ions, various types of defects are created as a consequence of ion-solid interaction and annealing processes (oxygen deficiency centres (ODC), non-bridging oxygen hole centres (NBOHC), E’-centres, etc.) leading to charge trapping effects during electrical excitation. Elimination or neutralisation of such defects is very important from the viewpoint of electrical stability of MOSLEDs. It will be demonstrated that (i) an additional fluorine implant into SiO2:Gd and SiO2:Tb layers leads to a decrease of E’-centres and ODC´s improving the efficiency of the MOSLEDs; and (ii), an additional potassium implant produces positive ions leading to a compensation effect for the negatively charged electron traps and, hence, to an reduced quenching of the EL efficiency and increased MOSLED lifetime .

Keywords: MOSLEDs; rare earths; electroluminescence; ion implantation; fluorine; potassium; nonbridging oxygen hole center; oxygen deficiency center; E'-center

  • Poster
    European Materials Research Society (EMRS) Spring Meeting, 29.05.-02.06.2006, Nizza, Frankreich

Permalink: https://www.hzdr.de/publications/Publ-9347


Recent progress in efficient electroluminescence from silicon-based light emitting devices made by ion beam processing

Sun, J. M.; Prucnal, S.; Potfajova, J.; Skorupa, W.; Schmidt, B.; Mücklich, A.; Helm, M.; Rebohle, L.; Gebel, T.

This report reviews our recent progress on efficient electroluminescence (EL) from silicon pn junctions and rare earth doped metal-oxide-semiconductor light emitting devices (MOSLEDs) in the infrared to ultraviolet range [1-3]. Silicon pn diodes prepared by boron implantation have shown efficient band edge EL with a high efficiency above 0.15% at room temperature. A detailed study has shown that the anomalous increase of the EL intensity with increasing temperature comes from the release of free carriers by thermal ionization of bound excitons. They are trapped around locally enhanced p-type doping spikes formed by boron clustering at dislocations or fabricated by selective diffusion of boron through a patterned SiO2 mask layer.
Efficient EL from Er, Tb, Gd doped MOSLEDs at 1535, 541 and 316 nm with an high quantum efficiency of 14, 16.5, and 5 %, respectively, has been demonstrated in our MOSLEDs, which is comparable to that of III-V semiconductor devices. The EL properties of efficiency, decay time, and excitation cross-section were studied concerning cross relaxation at concentrations from 0.25 to 9% and clustering of rare earth dopants at annealing temperatures from 800 to 1100°C. Improving of the device stability is achieved by using double-stacked gate oxide layers, charge compensation and elimination of defects in SiO2 layers.
[1] J. M. Sun, W. Skorupa, T. Dekorsy , and M. Helm, J. Appl. Phys. 97, 123513 (2005)
[2] J. M. Sun, W. Skorupa, T. Dekorsy, and M. Helm, Appl. Phys. Lett. 85, 3387 (2004).
[3] J. M. Sun, T. Dekorsy, W. Skorupa, B. Schmidt, A. Mücklich, and M. Helm, Phys. Rev. B 70, 155316 (2004).

Keywords: electroluminescence; ion implantation; MOSLED; pn junction; rare earths; doping spike; boron clustering; decay time

  • Invited lecture (Conferences)
    European Material Research Society(EMRS) Spring Meeting 2006, 29.05.-02.06.2006, Nizza, France

Permalink: https://www.hzdr.de/publications/Publ-9346


Electrical activation of implantation-induced defects in ZnO by flash-annealing

Børseth, T. M.; Christensen, J. S.; Anwand, W.; Skorupa, W.; Svensson, B. G.; Kuznetsov, A. Y.

In this project we study diffusion and electrical activation in lithium and helium implanted ZnO single crystal wafers with high resistivity using secondary ion mass spectrometry (SIMS), scanning spreading resistance microscopy (SSRM) and scanning capacitance microscopy (SCM), respectively. Li+ ions were implanted with an energy of 837 keV at a dose of 2x1015 cm-2, and He+ were implanted with an energy of 683 keV at a dose of 6x1015 cm-2 to ensure similar implantation depths and damage productions. The samples were then annealed using short 20 ms light flashes corresponding to temperatures of 900°C, 1200°C and 1400°C. After each annealing step the samples were characterized with SSRM/SCM and SIMS. In as-implanted samples, no changes in the initially high resistivity are observed in neither the Li- nor the He-implanted samples but after the thermal treatments a substantial decrease is observed in both sets of samples. This resistivity drop is most likely related to electrical activation of shallow implantation-induced defects or deactivation of compensating defects/impurities, as He in principle should be electrically inactive in any solid state material. No significant redistribution of the implanted ions is observed.

Keywords: zinc oxide. ion implantation; flash lamp annealing; electrical activation; SIMS; scanning spreading resistance microscopy; scanning capacitance microscopy

  • Lecture (Conference)
    15, Int. Conf. on Ion Beam Modification of Materials, 18.-22.09.2006, Catania, Italy

Permalink: https://www.hzdr.de/publications/Publ-9345


Formation of germanium shallow junction by Flash annealing

Satta, A.; D’Amore, A.; Simoen, E.; Anwand, W.; Skorupa, W.; Clarysse, T.; van Daele, B.; Janssens, T.

We have investigated flash-lamp annealing (FLA) of germanium wafers doped with phosphorous and boron introduced in the crystal by ion implantation. Annealing was performed by using pre-heating at 400-450 oC in a conventional rapid thermal processing (RTP) unit and a fast (3-20 ms) FLA annealing at 800 oC or 900 oC. Diffusion of P is suppressed during the 800oC-20 ms FLA annealing, while concentration-enhanced diffusion occurs upon 900oC FLA anneals. At this higher temperature the FLA pulse time becomes a fundamental parameter, since the shortest FLA pulse (3 ms) causes less junction deepening and less P dose loss as compared to the longest (20 ms) pulse. Importantly, P activation seems to be enhanced by the FLA process.
The FLA process applied to B-doped pre-amorphized Ge layers does not show advantages as compared to a RTP conventional annealing. B diffusion and activation behavior are indeed similar for the millisecond annealing approach and for a conventional RTP combined with a solid phase epitaxial regrowth of the doped Ge layer.
In summary, the FLA technique holds a potential for the development of P shallow junctions in germanium wafers. However, junction stability following the FLA process is a concern and needs to be further investigated.

Keywords: ion implantation; germanium; flash lamp annealing; diffusion; activation; RTP

Permalink: https://www.hzdr.de/publications/Publ-9344


Germanium shallow junction formation by flash annealing

Satta, A.; D'Amore, A.; Simoen, E.; Janssens, T.; Clarysse, T.; Anwand, W.; Skorupa, W.

We have investigated flash-lamp annealing (FLA) of germanium wafers doped with phosphorous and boron introduced in the Ge crystal by ion implantation. Annealing was performed by using pre-heating at 400-450 oC in a conventional rapid thermal processing (RTP) unit and a fast (3-20 ms) FLA annealing at 800 oC or 900 oC. Diffusion of P is suppressed during the 800oC-20 ms FLA annealing, while concentration-enhanced diffusion occurs upon 900oC FLA anneals. At this higher temperature the FLA pulse time becomes a fundamental parameter, since the shortest FLA pulse (3 ms) causes less junction deepening and less P dose loss as compared to the longest (20 ms) pulse. Importantly, P activation seems to be enhanced by the FLA process. The FLA process applied to B-doped pre-amorphized Ge layers does not show advantages as compared to a RTP conventional annealing. B diffusion and activation behavior are indeed similar for the millisecond annealing approach and for a conventional RTP combined with a solid phase epitaxial regrowth of the doped Ge layer.
In summary, the FLA technique holds a potential for the development of P shallow junctions in germanium wafers. However, junction stability following the FLA process is a concern and needs to be further investigated.

Keywords: germanium; ion implantation; flash lamp annealing; RTP; diffusion; electrical activation

  • Lecture (Conference)
    15. International Conference on Ion Beam Modification of Materials, 18.-22.09.2006, Catania, Italy

Permalink: https://www.hzdr.de/publications/Publ-9343


Flash lamp processing in the millisecond-range for Metal-Oxide-Silicon-based light emitting diodes

Prucnal, S.; Sun, J. M.; Muecklich, A.; Skorupa, W.

The influence of different annealing processes (furnace annealing FA, rapid thermal annealing RTA and flash lamp annealing FLA) on the electroluminescence intensity, electric stability and point defect creation in SiO2 layers containing Gd atoms was investigated. The samples were annealed at different temperatures (from 800 to 10000C with the step 1000C for FA and from 10000C to 12000C with the step 500C for RTA and FLA). In the case of the peak at 316 nm which corresponds to Gd3+ the strongest EL intensity was observed from the sample annealed at 11000C after FLA. Increasing of the annealing temperature leads to a decreasing of the luminescence for each of annealing methods. The same effect was observed after increasing of the annealing time. In the case of defect formation under different annealing conditions an inverse situation was observed. Increasing of the annealing temperature and annealing time leads to an increase of the EL from oxygen deficiency centers (ODCs) An increase of the luminescence from defects at a simultaneous decrease of the luminescence from Gd at 316 nm can be explained by Gd-nanocluster creation in a-SiO2 during the annealing process.

Keywords: flash lamp annealing; silicon-based light emission; electroluminescence; ion implantation

  • Lecture (Conference)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9342


Energy Transfer by Gd to ion Implanted Ce and Er Atoms in Metal-Oxide-Silicon-based Light Emitting Diodes

Prucnal, S.; Sun, J. M.; Reuther, H.; Skorupa, W.

The excitation mechanism of electroluminescence (EL) of cerium and erbium ions co-implanted with gadolinium into the SiO2 layer of MOSLEDs was investigated. Ce and Er ions were implanted into SiO2 with a concentration of 1% and 2%, respectively, subsequently implanted by gadolinium ions with concentrations of 0.5, 1.5 and 3%. Silicon dioxide containing cerium co-implanted with Gd exhibits two different excitation mechanisms: direct Ce3+ ion excitation by hot electrons and transfer of energy from 6PJ energy level of Gd to 5d energy state of Ce leading to an increase of the EL of Ce in the blue region. The EL observed from the sample containing 3% of Gd was 5 times higher in comparison with samples implanted only by Ce. The Er implanted SiO2 exhibits the typical peak at 1540 nm and weak luminescence in the green and blue region. Two green peaks correspond to the transitions from 2H11/2 and 4S3/2 to 4I15/2 and blue peaks to those from 2H9/2 and 4F5/2 to 4I15/2. The additional implantation of Gd leads to an increase of luminescence from Er3+ lines in the visible region caused by the energy relaxation from the Gd excited level 6PJ to the higher energy levels of erbium, whereas it has no influence on the infrared luminescence at 1.54 mm.

Keywords: Gd sensitization; Cer; Erbium; energy transfer; silicon-based light emission; ion implantation; electroluminescence

  • Lecture (Conference)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9341


Optical activation and electrical stabilization of the EL from SiO2:Gd gate oxide layers by fluorine and potassium co-implantations

Prucnal, S.; Sun, J. M.; Reuther, H.; Skorupa, W.

When amorphous silica is bombarded with energetic ions, various types of defects are created as consequence of ion-solid interaction (oxygen deficient centres ODC, non-bridging oxygen hole centres NBOHC, E’-centres, etc.). Metal-oxide-silicon (MOS) structure contains gadolinium implanted SiO2 layer exhibit strong ultra violet (UV) electroluminescence (EL) at 316 nm from Gd3+ ions and enhancement of luminescence from defects (465 nm, 520 nm and 650 nm corresponds to ODC, Ed’-centre and NBOHC, respectively). Creation and transformation of point defects in bulk SiO2 contains Gd and F atom during constant current injection was investigated. Elimination of such defects is very important from viewpoint of electrical stability of MOS devices. Additional implantation of fluorine in to SiO2:Gd layer leads to decreasing of number of E’-centres and ODC, improves the stability of EL from Gd3+ at 316 nm and lengths working time of MOS structure.

Keywords: defects; silicon dioxide; ion implantation; silicon-based light emitter; electroluminescence

  • Lecture (Conference)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9340


Issues In Flash Lamp Annealing

Smith, M. P.; Seffen, K. A.; Mcmahon, R. A.; Skorupa, W.

Review of recent work presented at the MRS Spring Meeting at San Francisco

Keywords: Flash lamp annealing; simulation

  • Lecture (others)
    Seminar bei der Fa. Mattson, 21.04.2006, Fremont, Ca, USA

Permalink: https://www.hzdr.de/publications/Publ-9339


Flash Lamp Processing for Conductive ITO Layers

Skorupa, W.; Anwand, W.; Schumann, T.; Voelskow, M.; Luethge, T.; Adam, D.

Vortrag anlässlich eines vertraulichen Projekttreffens bei der Creavis-Degussa AG

Keywords: ITO layers; Flash lamp annealing

  • Lecture (Conference)
    Summer School Nanotronics 2006, 11.-13.09.2006, Marl, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9338


Advanced Thermal Processing of Semiconductor Materials in the msec-Range

Skorupa, W.

Vortrag anlässlich der Begutachtung eines japanischen Grossprpojektes

Keywords: Flash lamp annealing; silicon carbide; silicon

  • Lecture (others)
    Projekttreffen OSTEC Advanced Quantum Beam Project, 27.09.2006, FZ Dresden-Rossendorf, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9337


Efficiency and Stability Issues of MOSLED´s made by RE Ion Implantation

Skorupa, W.; Prucnal, S.; Sun, J. M.; Helm, M.; Rebohle, L.; Gebel, T.; Nazarov, A.; Osiyuk, I.; Tjagulski, I.; Zuk, J.

Combining silicon-based electronic circuits with optoelectronic functionality is one of the key challenges for the future semiconductor technology. Such work must not only be devoted to the wavelength of 1.54 µm because there are more applications needing light sources from all the UV to IR wavelength range. In our work we employed ion beam processing to embed different rare earth (RE) luminescent centers (Gd3+, Ce3+, Tm3+, Tb3+, Eu3+, Er3+) into the silicon dioxide layer of a purpose-designed Metal-Oxide-Silicon-based Light Emitting Diodes (MOSLEDs) with advanced electrical performance. Efficient electroluminescence was obtained from UV to infrared with a transparent top electrode made of indium-tin oxide. The distinct differences in efficiency of the various rare earth atoms will be discussed as well as problems of electrical stability of such devices due to hot electron injection and charge trapping phenomena. Several developments for improving the device stability will be proposed related to charge compensation and elimination of the defects in SiO2.

Keywords: Silicon-based light emitter; ion implantation; rare earths; electroluminescence; Metal-Oxide-Silicon-based Light Emitting Diodes (MOSLEDs); hot electron injection; charge trapping

  • Invited lecture (Conferences)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9336


Thermally Induced Deformation and Stresses During Millisecond Flash Lamp Annealing

Smith, M. P.; Seffen, K. A.; Mcmahon, R. A.; Anwand, W.; Skorupa, W.

A flash lamp has been proposed for annealing wafers with diameters approaching 100 mm.
The equipment applies a pulse, with duration 0.5 ms to 20 ms, resulting in large transient thermal
gradients in the wafer. In this paper, we present a model for the thermal reaction of this process
and its effect upon the mechanical behaviour, in order to predict stresses, shape changes and to
capture practical phenomenon, such as bifurcation of deformation modes. We then use the
model to follow changes in the expected response consequent on altering process conditions, as
well as exploring important issues associated with scaling to large wafer sizes. The model is
further used to predict material yielding leading to permanent deformations. This work presents
the first description of the thermo-mechanical response of wafers to flash lamp annealing in the
millisecond time regime and is therefore fundamental to the use of this technique in the
fabrication of semiconductor devices.

Keywords: Stress; Wafer; Flash lamp annealing

  • Contribution to proceedings
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA
    Materials Research Society Symposium Proceedings 912, 912-C04-08
  • Lecture (Conference)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9335


Process Control During Liquid Phase Rerowth of 3C-SiC on Si Substrates

Smith, M. P.; Voelskow, M.; Mcmahon, R. A.; Muecklich, A.; Anwand, W.; Skorupa, W.

Flash lamp annealing in the millisecond regime of heteroepitaxial silicon carbide on silicon structures involves melting the Si below the SiC layer, but the deep facetted nature of the solid-liquid interface leads to unacceptable surface roughness. This paper describes a method of controlling melting by implanting a high dose of carbon or germanium at a controlled depth below the Si/SiC interface, which significantly alters the melting characteristics of the silicon. Results confirm the effectiveness of these approaches for increasing surface uniformity, making liquid phase processing compatible with standard device fabrication techniques. A thermal model has also been developed to describe this process and results indicate that the theoretical work is consistent with the experimental evidence. The model is a valuable tool for predicting onset of melting, maximum temperatures and process windows for liquid phase epitaxy.

Keywords: Silicon carbide; Silicon; Heteroepitaxy; Liquid phase epitaxy; Flash lamp annealing

  • Contribution to proceedings
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA
    Materials Research Society Symposium Proceedings 911, 0911-B08-0
  • Lecture (Conference)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9334


Thermal and Stress Modeling for the Flash Lamp Crystallization of Amorphous Silicon Films

Smith, M. P.; Mcmahon, R. A.; Seffen, K. A.; Panknin, D.; Voelskow, M.; Skorupa, W.

Thin poly-crystalline silicon films are attractive for the fabrication of active matrix liquid crystal displays. We investigate the use of flash lamp annealing to crystallize amorphous silicon layers on glass substrates as a low cost manufacturing route. In this process amorphous silicon (a-Si) can be crystallized by solid phase crystallization (SPC) or in the super lateral growth (SLG) regime. We present a thermal model incorporating the phase transitions during annealing; providing a valuable tool for optimizing the process conditions. Another consideration is the evolution of stress resulting from the transient thermal loading of the substrate material. Results are presented for various substrate geometries and important scalability issues are addressed.

Keywords: polycrystalline silicon; flash lamp annealing; amorphous silicon on glass; active matrix liquid crystal displays

Involved research facilities

Related publications

  • Contribution to proceedings
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA
    Materials Research Society Symposium Proceedings 910, A 21-15
  • Lecture (Conference)
    MRS Spring Meeting 2006, 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9333


Deactivation of Li by vacancy clusters in ion-implanted and flash-annealed ZnO

Borseth, T. M.; Tuomisto, F.; Cristensen, J. S.; Skorupa, W.; Monakhov, E.; Svensson, B. G.; Kuznetsov, A.

Li is present in hydrothermally grown ZnO at high concentrations and is known to compensate both n- and p-type doping due to its amphoteric nature. However, Li can be manipulated by annealing and ion implantation in ZnO. Fast, 20 ms flash anneals in the 900–1400 °C range result in vacancy cluster formation and, simultaneously, a low-resistive layer in the implanted part of the He- and Li-implanted ZnO. The vacancy clusters, involving 3-4 Zn vacancies, trap and deactivate Li, leaving other in-grown donors to determine the electrical properties. Such clusters are not present in sufficient concentrations after longer (1 h) anneals because of a relatively low dissociation barrier ~2.6±0.3 eV, so ZnO remains compensated until Li diffuses out after 1250 °C anneals.

Keywords: flash annealing; ion implantation; zinc oxide; lithium; vacancy cluster

  • Physical Review B 74(2006), 161202(R)

Permalink: https://www.hzdr.de/publications/Publ-9332


Engineering of radiation defects and Li by flash anneals in ion-implanted ZnO

Borseth, T. M.; Cristensen, J. S.; Monakhov, E.; Svensson, B. G.; Kuznetsov, A.; Tuomisto, F.; Skorupa, W.

Li is present in hydrothermally grown ZnO at high concentrations and is known to compensate both n- and p-type doping due to its amphoteric nature. However, Li can be manipulated by annealing and ion implantation in ZnO. Fast, 20 ms flash anneals in the 900–1400 °C range result in vacancy cluster formation and, simultaneously, a low-resistive layer in the implanted part of the He- and Li-implanted ZnO. The vacancy clusters, involving 3-4 Zn vacancies, trap and deactivate Li, leaving other in-grown donors to determine the electrical properties. Such clusters are not present in sufficient concentrations after longer (1 h) anneals because of a relatively low dissociation barrier ~2.6±0.3 eV, so ZnO remains compensated until Li diffuses out after 1250 °C anneals.

Keywords: zinc oxide; lithium; flash annealing; ion implantation; vacancy cluster; doping

  • Lecture (Conference)
    4th International Workshop on ZnO and Related Materials, 03.-06.10.2006, Gießen, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9331


Blitzlampen-Prozessieren: Stress und Oberflächen-Schmelzen bei Silizium

Skorupa, W.

Überblick zu Stress und Oberflächen-Schmelzen bei Silizium infolge Blitzlampen-Prozessierens

Keywords: Flash lamp annealing; silicon; ion implantation

  • Invited lecture (Conferences)
    Deutsches Nutzertreffen Kurzzeitausheilung, 23.11.2006, Villach, Österreich

Permalink: https://www.hzdr.de/publications/Publ-9330


Silicon MOS light emitters by rare earth doping

Skorupa, W.

Review of recent results regarding Silicon MOS light emitters using rare earth doping

Keywords: Silicon-based light emitter; rare earth; doping

  • Invited lecture (Conferences)
    1st Optence workshop on silicon photonics, 10.11.2006, Mainz, Germany

Permalink: https://www.hzdr.de/publications/Publ-9329


High dose high temperature ion implantation of Ge into 4H-SiC

Kups, T.; Weih, P.; Voelskow, M.; Skorupa, W.; Pezoldt, J.

A box like Ge distribution was formed by ion implantation at 600 C. The Ge concentration was varied from 1 to 20 %. The TEM investigations revealed an increasing damage formation with increasing implantation dose. No polytype inclusions were observed in the implanted regions.

Keywords: 4H-SiC; Ge; solid solution; ion implantation; transmission electron microscopy

  • Materials Science Forum 527(2006), 851-854
  • Lecture (Conference)
    ICSCRM 2005, 18.-23.09.2005, Pittsburgh, USA

Permalink: https://www.hzdr.de/publications/Publ-9328


Millisecond annealing with flash lamps: tool and process challenges

Gebel, T.; Rebohle, L.; Fendler, R.; Hentsch, W.; Skorupa, W.; Voelskow, M.; Anwand, W.; Yankov, R.

Sub-second annealing is one of the key issues to meet the requirements of the 45 nm technology node according to the ITRS roadmap. Therefore, over the past decade there has been great interest in techniques such as laser and flash lamp annealing (FLA). In addition, advanced ultra-fast annealing shows promise for technologies that are not directly related to Si device processing. The main reason for using FLA in alternative applications is the reduced thermal budget because of the short annealing time, which enables one to achieve high temperatures (> 500°C) in the near-surface region while keeping the substrate bulk relatively cold. This is of particularly high importance for the development of novel polymer-based electronics and flexible solar cell technologies, where the substrates cannot withstand temperatures in excess of 150°C. An overview of theoretical simulations and related results from FLA experiments for a variety of layered systems is given. The influence of the flash duration and intensity on the heat distribution and the resulting physical properties is considered. Design and performance issues of the FLA tools depending on the specific uses and technical requirements are addressed. Furthermore, topics covered include high-throughput applications e.g. for roll-to-roll production of polymer substrates. Results of a prototype tool for multi-flash processing up to a frequency of 1 Hz using a pulse duration of 1 ms are also discussed.

Keywords: Flash lamp annealing; Silicon device processing; roll-to-roll production; polymer substrates; sub-second annealing

  • Contribution to proceedings
    14. International Conference on Advanced Thermal Processing of Semiconductors (RTP 2006), 10.-13.10.2006, Kyoto, Japan
    Proceedings, RTP 2006, Piscataway, New York, USA: Institut of Eletrical and Electronics Engineers, 1-4244-0648-X
  • Invited lecture (Conferences)
    14. Int. Conf. on Advanced Thermal Processing of Semiconductors, 10.10.2006, Kyoto, Japan

Permalink: https://www.hzdr.de/publications/Publ-9327


Thermische Prozesse im msec-Bereich für Elektronik und Photonik

Skorupa, W.

Vortrag über die jüngsten Entwicklungen und Experimente am FZD zur Blitzlampentemperung

Keywords: Blitzlampen-Temperung; Silizium; ITO; Siliziumkarbid

  • Lecture (others)
    Seminar am Institut für Bio- und Nanosysteme des Forschungszentrums Jülich, 16.10.2006, Jülich, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9326


Mikro-Nano-Piko: Elektronik und Photonik erobern das Nichts

Skorupa, W.

Es wird über Entwicklungen in der Elektronik und deren Übergang in Photonikanwendungen berichtet.

Keywords: Lichtemitter; Blitzlampen-Temperung

  • Lecture (others)
    Technologietag der FDP-Fraktion am Sächsischen Landtag, 18.05.2006, Dresden, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9325


Ausgründung einer GmbH aus dem FZD aus der Perspektive eines Wissenschaftlers

Skorupa, W.

Vortrag zu den Arbeiten am FZD sowie zu den Erfahrungen mit der Ausgründung einer GmbH aus dem FZD

Keywords: Lichtemitter; Blitzlampenausheilung

  • Lecture (others)
    Treffen mit Mittelstandsvereinigung Saxonia der sächsischen FDP, 09.06.2006, Dresden-Rossendorf, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9324


Advanced Thermal Processing of Semiconductor Materials in the msec-Range

Skorupa, W.

Sub-second annealing is one of the key issues to meet the requirements of the 45 nm technology node according to the ITRS roadmap. Therefore, over the past decade there has been great interest in techniques such as laser and flash lamp annealing (FLA). In addition, advanced ultra-fast annealing shows promise for technologies that are not directly related to Si device processing. The main reason for using FLA in alternative applications is the reduced thermal budget because of the short annealing time, which enables one to achieve high temperatures (> 500°C) in the near-surface region while keeping the substrate bulk relatively cold. This is of particularly high importance for the development of novel polymer-based electronics and flexible solar cell technologies, where the substrates cannot withstand temperatures in excess of 150°C. An overview of theoretical simulations and related results from FLA experiments for a variety of layered systems is given. The influence of the flash duration and intensity on the heat distribution and the resulting physical properties is considered. Design and performance issues of the FLA tools depending on the specific uses and technical requirements are addressed. Furthermore, topics covered include high-throughput applications e.g. for roll-to-roll production of polymer substrates. Results of a prototype tool for multi-flash processing up to a frequency of 1 Hz using a pulse duration of 1 ms are also discussed.

Keywords: silicon; flash lamp annealing

  • Lecture (others)
    Institutsseminar, 07.06.2006, Freiberg, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9323


Visokotemperaturnaja implantazia ionov N+ i Al+ v 6H-SiC pri visokich dosach

Yankov, R.; Voelskow, M.; Kreissig, U.; Kulikov, D. V.; Pezoldt, J.; Skorupa, W.; Truschin, Y. V.; Charlamov, V. S.; Zigankov, D. N.

the effect of high temperature high dose nitrogen and aluminium implantation into 6H-SiC is investigated

Keywords: implantation; high dose

  • Pisma v Zhurnal Tekhnicheskoi Fiziki 23(1997)16, 6

Permalink: https://www.hzdr.de/publications/Publ-9322


Industrial Application of Gamma Ray CT

Hampel, U.; Bieberle, A.; Schleicher, E.; Hoppe, D.; Zippe, C.

Gamma ray CT is a powerful tool for the measurement of phase fraction distributions in thermal hydraulic systems and process diagnostics applications. Challenging process and plant conditions, such as high pressures and temperatures, opaque metallic vessels or machine parts as well as thermally unsteady and electromagnetically noisy environments limit the range of applicable measurement techniques in real processes. Gamma ray CT is a non-invasive technique and can be applied without major modification of the process facility. The tomography equipment is still not too bulky and complex to enable industrial measurements outside the laboratory. Recently our group has developed a high resolution gamma ray CT system that comprises a Cs-137 isotopic source (165 GBq activity, 622 keV photon energy) and a 320 channel scintillation detector that employs highly compact and robust avalanche photo detector technology in combination with fast LYSO scintillation crystals. The detector is operated in gamma photon counting mode. The spatial resolution of the detector is 2 mm. For measurements at industrial facilities we further devised a tomograph gantry that consists of a vertical translational and a rotational motor driven unit for tomographic scans at different axial planes of an object. The vertical operating range is approximately 1800 mm and objects of up to 900 mm diameter can be scanned.

Keywords: gamma ray CT; computed tomography

  • Contribution to proceedings
    International Conference on Multiphase Flow ICMF 2007, 09.-13.07.2007, Leipzig, Germany
    Proceedings of the International Conference on Multiphase Flow 2007, Paper No. PS/_10
  • Lecture (Conference)
    International Conference on Multiphase Flow ICMF 2007, 09.-13.07.2007, Leipzig, Germany

Permalink: https://www.hzdr.de/publications/Publ-9321


Anwendung der Elektronenstrahltechnik zur ultraschnellen Tomographie von Mehrphasenströmungen

Hampel, U.; Fischer, F.; Mattausch, G.

Computertomographie (CT) auf der Basis von Röntgen- und Gammastrahlung stellt ein attraktives Messverfahren zur Untersuchung von Mehrphasenströmungen dar. Die Messung erfolgt dabei berührungslos und hat somit keine Rückwirkung auf die Strömung. Die Strahlung ermöglicht einen Blick in undurchsichtige Gefäße und durchdringt trübe oder intransparente Fluide, Supensionen, Emulsionen und Feststoffschichten ohne Probleme. Da die Strahlungsausbreitung geradlinig erfolgt, sind scharfe Abbildungen verborgener Strukturen möglich. Bisher wird die Computertomographie als bildgebendes Messverfahren hauptsächlich in der Medizin aber auch in der zerstörungsfreien Werkstoffprüfung eingesetzt. Seit einiger Zeit gibt es vielfältige internationale Bestrebungen, computertomographische Messverfahren für die Untersuchung von Mehrphasenströmungen verfügbar zu machen.
Das Prinzip der Elektronenstrahltomographie eignet sich für die Untersuchung schneller Strömungsvorgänge. Dabei ist es allerdings erforderlich, die Bildrate eines derartigen CT-Scanners über die in der Kardiobildgebung üblichen 50 Bilder pro Sekunde hinaus auf mindestens 1000 Bilder pro Sekunde zu steigern. Am Institut für Sicherheitsforschung am Forschungszentrum Dresden-Rossendorf wird derzeit daran gearbeitet, die Elektronenstrahltomographie als messtechnisches Tool für die Untersuchung von Zweiphasenströmungen im Forschungsschwerpunkt Sicherheit und Betriebsverhalten nuklearer Anlagen bzw. zu Zwecken der Entwicklung und Validierung von Zweiphasen-CFD-Codes verfügbar zu machen. Mit der Entwicklung eines optimierten ultraschnellen Elektronenstrahl-CT-Scanners mit eigenem Elektronenstrahlerzeuger und halbkreisförmiger Targetgeometrie wurde nunmehr am Forschungszentrum Dresden-Rossendorf ein nächster wichtiger Schritt in Richtung einer universell einsetzbaren Elektronenstrahl-CT für Strömungsuntersuchungen beschritten. In naher Zukunft wird dieses Messverfahren Anwendung bei der Untersuchung von Wasser-Wasserdampf-Strömungen in einer Rohrleitung mit 50 mm Innendurchmesser finden. Die Untersuchungen werden an der am Forschungszentrum Dresden-Rossendorf betriebenen Mehrzweck-Thermohydraulik-Versuchsanlage TOPFLOW durchgeführt.

Keywords: electron beam tomography

  • Contribution to external collection
    in: Jahresbericht 2006, Fraunhofer Institut für Elektronenstrahl- und Plasmatechnik, München: Fraunhofer, 2007, 57-58

Permalink: https://www.hzdr.de/publications/Publ-9320


Positron and deuteron depth profiling in He-3-implanted electrum-like alloy

Grynszpan, R. I.; Baclet, N.; Darque, A.; Flament, J. L.; Zielinski, F.; Anwand, W.; Brauer, G.

In spite of previous extensive studies, the helium behavior in metals still remains an issue in microelectronics as well as in nuclear technology. A gold–silver solid solution (Au60Ag40: synthetic gold-rich electrum) was chosen as a relevant model to study helium irradiation of heavy metals. After helium-3 ion implantation at an energy ranging from 4.2 to 5.6 MeV, nuclear reaction analysis (NRA) based on the 3He(d,p)4He reaction, was performed in order to study the thermal diffusion of helium atoms. At room temperature, NRA data reveal that a single Gaussian can fit the He-distribution, which remains unchanged after annealing at temperatures below 0.45 of the melting point. Slow positron implantation spectroscopy, used to monitor the fluence dependence of induced defects unveils a positron saturation trapping, which occurs for He contents of the order of 50–100 appm, whereas concentrations larger than 500 appm seem to favor an increase in the S-parameter of Doppler broadening. Moreover, at high temperature, NRA results clearly show that helium long range diffusion occurs, though, without following a simple Fick law.

  • Applied Surface Science 252(2006), 3252-3255

Permalink: https://www.hzdr.de/publications/Publ-9318


Modification of Solid Surfaces by Slow Highly Charged Ions: Effects of Highly Confined Excitation

Heller, R.; Facsko, S.; Kost, D.; Zschornack, G.

Due to their high amount of potential energy highly charged ions induce various changes in morphology and electronic structure of solid surfaces [1,2]. The potential energy which is the sum of the ionization energies of all removed electrons, is released on a very small localized area of a few nm2 and in very short times of tens of fs. Hence the power density deposited into the surface can reach values of up to 1014 Wcm−2 depending on the ions incident charge state. The changes in surface topology depend strongly on the electronic excitation in the surface and its lateral and longitudinal confinement. Focus of our investigations is the interaction of slow HCIs with surfaces that have a high confinement of excitation. Such surfaces are mainly all kind of insulators, but also samples with an additional confinement due to a layered structure. In this case the electron transfer between different layers is suppressed and the interacting system becomes quasi two dimensional. Investigations were performed at the Rossendorf Two Source Facility, an ion beam facility consisting of two sources: a 14.5 GHz ECR ion source and an Electron Beam Ion Source, the Dresden EBIT [3]. Ions of both sources are decelerated by a common deceleration unit to suppress kinetic effects during ion surface interaction. The combination of these both sources offers ions of various charge states (up to q = 44 for Xe), species (He, Ne, Ar, Xe, C, N, O) and kinetic energies (<q · 100 eV to q · 25 keV). We present scanning probe microscopy studies of surface modifications induced by single ion impacts. HOPG, MICA and ultra thin SiO2 layers were irradiated with ions of argon (charge states q = 1...16) and xenon (charge states q = 1...40). The diameter and the height of created nano structures wer e investigated in dependence on the ion charge state for both ion species. Additional to SPM investigations Raman spectroscopy of HOPG before and after irradiation was performed to get information about structural changes induced by the HCI impact.

Keywords: Highly Charged Ions; Surface Interaction; HOPG; Excitation; STM

  • Poster
    13th International conference on the Physics of Highly Charged Ions (HCI), 28.08.2006, Belfast, Nord Irland

Permalink: https://www.hzdr.de/publications/Publ-9317


The Rossendorf Two Source Facility – A Device for the Observation of the Interaction of Slow Highly Charged Ions with Surfaces

Heller, R.; Facsko, S.; Kost, D.; Zschornack, G.

The interaction of slow highly charged ions with solid surfaces is mainly characterized by the high amount of potential energy stored in the ions and discharged on the surface. Thereby the potential energy is the sum of the ionization energies of all removed electrons. While the kinetic energy of an ion scales linearly with the charge state, its potential energy grows rapidly with each removed electron. Figure 1 shows the ionization energy and the resulting potential energy of iron ions of different charge states. The ion bombardment of solid surfaces with HCIs can lead to various changes in surface morphology [1-4]. The Rossendorf Two Source Facility presents a world wide unique ion beam facility consisting of two ion sources and providing ions of adjustable charge state and kinetic energy. These two sources are: a 14.5 GHz-Electron-Cyclotron-Resonance (ECR) ion source (Super Nanogan / Pantechnik) and an Electron Beam Ion Trap (Dresden EBIT / Leybold Vacuum Dresden GmbH) operating at room temperature [5,6,7].

Keywords: highly charged ions; surface interaction; nano dots; STM; HOPG

  • Contribution to proceedings
    International Doctoral Seminar 2006, 23.04.2006, Smolenice, Slowakia

Permalink: https://www.hzdr.de/publications/Publ-9316


Extraktion hochgeladener Ionen aus der Dresden EBIT im Überlaufregime

Heller, R.; Zschornack, G.

For the first time the production and the extraction of highly charged ions from the Dresden EBIT using the so-called leaky mode, an operating mode with a continuous ion extraction (DC) at fixed axial trap potantial was investigated. Measurements of the ion output current at a Faraday cup directly behind the source were done with integral ion currents of up to 1.5nA depending on the source parameters. Also the charge state distribution of the extracted ions at leaky mode was investigated. It was shown, that ions of argon gas can be extracted up to a charge state of q=17 with an ion current of 1pA (DC) and xenon ions up to a charge state of q=21 with currents of about 0.5pA (DC).

Keywords: highly charged ions; leaky mode; EBIT

  • Contribution to proceedings
    28. EAS-Tagung - Energiereiche atomare Stöße, 06.-10.02.2006, Dresden, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9315


Ioneninduzierte Nanostrukturen

Möller, W.

  • Lecture (others)
    Festkolloquium, 06.12.2006, Cottbus, D

Permalink: https://www.hzdr.de/publications/Publ-9314


Mechanisms of target poisoning during reactive sputtering of TiN

Möller, W.

  • Invited lecture (Conferences)
    BELVAC Symposium on Reactive Sputter Deposition, , Belgium, 30.11.2006, Ghent, Belgium

Permalink: https://www.hzdr.de/publications/Publ-9313


Ion induced stress release – by thermal or collisional spikes?

Möller, W.

  • Lecture (others)
    Thin Film Workshop, 17062006, Linköping, Sweden

Permalink: https://www.hzdr.de/publications/Publ-9312


Ion irradiation for generation and control of nanostructures

Möller, W.

  • Lecture (others)
    Seminar, 10.01.06, Prag, CZ

Permalink: https://www.hzdr.de/publications/Publ-9311


Kontinuumsgleichungen zur Beschreibung ionenstrahlinduzierter Nanostrukturen

Facsko, S.

  • Lecture (Conference)
    Workshop "Ionenstrahlphysik und Nanotechnologie", 16.05.2006, Berlin, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9310


Properties of thin films grown on periodically corrugated surfaces

Facsko, S.; Keller, A.; Liedke, O.; Fassbender, J.; Möller, W.

  • Lecture (others)
    Seminar, 30.05.2006, Konstanz, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9309


Changing carbon films by highly charged ions

Facsko, S.; Som, T.; Wilhelm, R.; Heller, R.; Möller, W.

Carbon films exist in a variety of modifications ranging from soft amorphous carbon film (a-C) to hard diamond-like carbon (DLC) films [1]. Due to their diverse proper-ties carbon films are technologically important materials, especially for protective, biomedical, or field emission coatings. In addition, special forms such as fullerene-like films have attracted the scientific interest for their special structure. Many of the properties of the carbon films are intrinsically related to their nanostructure which in turn is strongly related to the existence of sp2 and sp3 hybridized phases.
The nanostructure of the carbon films depends strongly on the preparation conditions but are as well modified by the irradiation with ions. Irradiation with single charged heavy ions at high energy normally turns the films amor-phous reducing the amount of sp3 and sp2 hybridized phases [2]. Here, we present systematic studies of irradia-tion of carbon films by highly charged argon and xenon ions with low kinetic energy.

Keywords: highly charged ions; carbon films

  • Poster
    International Workshop on Inelastic Ion-Surface Collisions (IISC-16), 17.-22.09.2006, Hernstein, Österreich

Permalink: https://www.hzdr.de/publications/Publ-9308


Periodic surface patterns induced by low energy ion erosion

Facsko, S.; Keller, A.; Rossbach, S.; Möller, W.

In the series of self-organized processes for the fabrication of nanostructures the pattern forma-tion during ion erosion of surfaces has attracted much interest in the last years. In the continuous sputtering process, induced by the bombardment with low-energy ions, periodic surface patterns appear in form of ripples under off-normal incidence or arrays of hexagonally ordered dots at normal incidence. The dimension of the pattern is related to the size of the typical collision cas-cade and lies in the range of ten to tens of nanometers, depending on ion energy. The self-organization mechanism relies on the interplay between a surface instability caused by the sput-tering, and surface diffusion processes. Regular ripple and dot array morphologies have been produced in this way on very different materials including semiconductors, insulators, and met-als, demonstrating the universality of the mechanism.

Keywords: Nanostructures

  • Lecture (others)
    Seminar, 15.12.2006, Göttingen, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9307


Thermal phase transformation and perpendicular exchange coupling of Co nanocrystals embedded in ZnO

Zhou, S.; Potzger, K.; Skorupa, W.; Helm, M.; Fassbender, J.

Recently, due to the potential application in spintronics, ferromagnet-semiconductor hybrid structures have attracted huge research attention [1]. Large magnetoresistance was observed in GaAs consisting MaAs nanocrystals formed by ion implantation [2] and in granular ZnO/Co systems [3]. Very recently, wide-band-gap semiconductors (GaN, ZnO and TiO2) doped with transition metals were reported to be diluted magnetic semiconductors with Curie temperatures above room temperature [4]. However, the origin of the observed ferromagnetism is still controversial, e.g. ferromagnetic clusters, or extrinsic reasons. In this work, Co nanocrystals (NCs) were formed inside ZnO my means of ion beam synthesis. The Co NCs are crystallorgraphically oriented inside ZnO. The magnetic properties, e.g. anisotropy and blocking temperature can be tuned by annealing. In the as-implanted and annealed (823 K) samples, hcp-Co or co-existing of hcp and fcc Co NCs have been found and show superparamagnetism. After annealing at 923 K, the sample exhibits a much higher blocking temperature and shows a perpendicular exchange bias effect, which indicates the formation of a Co/CoO core/shell structure. The system of ZnO semiconductor consisting of crystallorgraphically oriented Co NCs could be a promising hybrid for spin-injection.
1. H. Ohno, Semicond. Sci. Technol. 17, 275 (2002).
2. P. J. Wellmann, et al., Appl. Phys. Lett. 73, 3291 (1998).
3. A. B. Pakhomov, et al., J. Appl. Phys. 95, 7393 (2004).
4. A. H. MacDonald, et al., Nature Materials 4, 195 (2005).

Keywords: ZnO; magnetic semiconductor; Co nanocrystals

  • Lecture (Conference)
    71. Jahrestagung der Deutschen Physikalischen Gesellschaft und DPG Frühjahrstagung des Arbeitskreises Festkörperphysik, 26.-30.03.2007, Regensburg, Germany

Permalink: https://www.hzdr.de/publications/Publ-9306


Structural investigations of magnetic nanocrystals embedded in semiconductors using synchrotron radiation x-ray diffraction

Zhou, S.; Potzger, K.; von Borany, J.; Skorupa, W.; Helm, M.; Fassbender, J.

Traditional electronics can be greatly stimulated by a combination of magnetic and semiconducting properties where spins provide an additional freedom degree. Recently theoretical works predict that some semiconductors (e.g. ZnO, GaN, TiO2, and Si) doped with transition metal (TM) are diluted magnetic semiconductors (DMS) [1]. In DMS materials, TM ions are substituted onto cation sites of the host semiconductor and are coupled with free carriers via indirect interaction resulting in ferromagnetism. However most experimental works have only concentrated on reporting high Tc and interpreted the observed ferromagnetism in terms of DMS without detail structural characterization. In this work, we have prepared magnetic ZnO, TiO2 and Si samples doped with TM by implantation, and correlated their magnetic and structural properties [2-5]. By synchrotron radiation x-ray diffraction, Fe, Ni, Co and Mn-silicide nanocrystals are observed in our samples, and are responsible for the magnetism. Depending on their crystalline structure, those nanocrystals are crystallographically oriented with respect to the host matrix. The structural information is well agreeable with the magnetic properties measured by SQUID. Additionally due to their orientation nature (crystallographically oriented or randomly oriented with respect to the host crystal), these nanocrystals in some cases are very difficult to detect by a simple Bragg-Brentano scan. This nature results in the pitfall of using XRD to exclude secondary phase in DMS materials.
References
[1] T. Dietl, et al, Science 287, 1019 (2000).
[2] K. Potzger, Shengqiang Zhou , et al, Appl. Phys. Lett. 88, 052508 (2006).
[3] Shengqiang Zhou, et al., J. Appl. Phys. 100, 114304 (2006).
[4] Shengqiang Zhou, et al., to be published at Phys. Rev. B (2007).
[5] Shengqiang Zhou, et al., to be published at J. Phys. D: Appl. Phys. (2007).

Keywords: synchrotron radiation x-ray diffraction; diluted magnetic semiconductor; magnetic nanocrystals

  • Poster
    The 17th ESRF Users Meeting, 05.-08.02.2007, Grenoble, France
  • Lecture (Conference)
    Nanospintronic design and realization 2007, 21.-25.5.2007, Dresden, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9305


Buoyancy driven flow in the VeMix test facility

Vaibar, R.; Sühnel, T.

Buoyancy driven flow is often presented in many engineering application such as mixing process of fluids with different density. The aim of our study is to simulate mixing processes that are relevant in safety analyses of nuclear reactors. The boron dilution problem is one such safety issue analysis.
Borated and unborated water are of different densities and may be of the diffenrent temperatures, what causes additional density defferences. The degree of mixing of low and high borated coolant is a critical issue with respect to reactivity insertion into the reactor core. As nuclear reactor geometries are complex, the mixing process not widely understood and the influence of the buoyancy is small, a very simple vertical test facility was chosen for validation of numerical models of the mixing process. A new source term, which consists of a sum of the component turbulent density fluxes, is defined for the turbulent model and in this case is based on the definition turbulent kinetic energy.

Keywords: buoyancy driven turbulence; turbulence two-equation model

  • Lecture (Conference)
    Seminar on Numerical Analysis and Tutorial - SNA'07, 22.-26.01.2007, Ostrava, Czech Republic

Permalink: https://www.hzdr.de/publications/Publ-9304


Non-Fermi-Liquid Behavior in CeCoIn5 Near the Superconducting Critical Field

Movshovich, R.; Capan, C.; Ronning, F.; Bianchi, A.; Pagliuso, P. G.; Bauer, E. D.; Sarrao, J. L.

We measured specific heat and resistivity of heavy fermion CeCoIn5 at and above the superconducting critical field with field in [001] (Hc2 = 5 T) and [100] directions (Hc2 = 12 T), and at temperatures down to 50 mK. At the critical fields the data show Non Fermi Liquid (NFL) behavior down to the lowest temperatures. With increasing field the data exhibit crossover from NFL to Fermi liquid behavior at the lowest temperatures. Analysis of the scaling properties of the specific heat, and comparison of both resistivity and the specific heat with the predictions of a spinfluctuation theory suggest that the NFL behavior is due to incipient antiferromagnetism (AF) in CeCoIn5, with the quantum critical point in the vicinity of Hc2. Below Hc2 the AF phase which competes with the paramagnetic ground state is superseded by the superconducting transition. To separate the quantum critical point HQCP from the superconducting critical field Hc2 we performed a series of Sn-doping and hydrostatic pressure studies. Sn-doping appears not to be able to separate HQCP and Hc2. Preliminary resistivity in magnetic field measurements under hydrostatic pressure indicate that the QCP point moves inside the superconducting phase in the H-P plane with increasing field.

  • AIP Conference Proceedings 850(2006), 711

Permalink: https://www.hzdr.de/publications/Publ-9303


Plasma immersion ion implantation for surface modification

Shevchenko, N.

In the present work the surface processes during oxygen PIII and the microstructure and properties of the modified surface layers were studied. The samples were examined by X-ray diffraction analysis, Auger electron spectroscopy, atomic force microscopy, spectroscopic ellipsometry and corrosion and blood compatibility tests. Oxygen PIII at an ion energy of 40 keV and a substrate temperatures below 300°C results in the formation of a transparent rutile TiO2 surface layer with a Ni content down to below 1 at%. This layer prevents from corrosion and out-diffusion of Ni ions. Biocompatibility tests show a largely superior in vitro blood compatibility compared to the untreated samples.

Keywords: PIII; NiTi alloy; microstructure; surface

  • Lecture (others)
    Boston Scientific project meeting, 03.-05.05.2006, Maple Grove, Minnesota, USA

Permalink: https://www.hzdr.de/publications/Publ-9302


Heavy Ion ERDA at the 5 MV Tandem Accelerator in Rossendorf

Kreissig, U.

The different particle separating detection techniques used in Rossendorf to perform ERD analysis are described. Special emphasis was drawn on the TOF-E-telescope and all the experience which was collected with this detector. Some examples with real TOF-E-spectra are presented and discussed.

  • Lecture (others)
    Seminar of the Nuclear Physics Institute, 25.07.2006, Rez, Czech Republic

Permalink: https://www.hzdr.de/publications/Publ-9301


Portable THz cyclotron resonance spectrometer

Drachenko, O.; Leotin, J.; Schneider, H.; Helm, M.

In this paper we present a very compact THz cyclotron resonance (CR) spectrometer based on far IR quantum cascade lasers emitting at 11.4mkm and ~15mkm.
The CR spectrometer is schematically shown on the Figure 1. It incorporates an interchangeable GaAs/AlGaAs quantum cascade laser placed below the bottom edge of the magnet, where the absolute value of magnetic field is as low as 15 T when the maximum field of 70T is reached in the centre. Emitted light is collected by ZnSe microlens, guided through the stainless steel waveguide, and focused on the sample installed in the centre of the magnetic field coil. Transmitted light is then focused on the Si doped boron blocked impurity band (Si:B BIB) photodetector placed above the coil to minimize the value of magnetic field acting on the detector. The waveguide is purged with helium to avoid air condensation. The QCL source is driven by short current pulses 1mks long with amplitude ~2 Amps remaining constant during magnetic field shot. An appropriate repetition rate of the order of 3-4 kHz was chosen to avoid thermal degradation of the intensity. We record 4 signals during the magnetic field pulse: voltage drop across the laser, current through the laser, response of the photodetector, and, finally, magnetic field value. We use fast 100 MHz acquisition card with an onboard memory sufficient for 1s full speed acquisition. Especially designed software then removes useless points, corresponding to the absence of laser emission or regions of instability due to transient processes in the long power supply lines. Possible distortions due to QCL heating and modulation of its intensity by magnetic fields are avoided by normalisation of the signal with the sample installed by the signal without sample.

Keywords: Cyclotron resonance; High magnetic fields

  • Lecture (Conference)
    Détecteurs et Emetteurs de Radiations THz à semiconducteurs, 06.-07.12.2006, Université Montpellier II, Place Eugène Bataillon,, France

Permalink: https://www.hzdr.de/publications/Publ-9300


Fast THz time-domain spectrometer

Drachenko, O.; Winnerl, S.; Schneider, H.; Helm, M.

In this paper we present a concept of a THz time-domain spectrometer to be used in millisecond pulsed magnets with 24 mm clear bore. The design is based on fiber coupled semiconductor antennas, both for THz light emission and detection, gated with ultrafast femtosecond laser pulses. Both, THz emitter and detector are installed inside the magnet bore, close to the sample. The laser pulses gating the emitter and the detector are guided from outside by optical fibers (Fig.1). A fast acquisition rate is achieved due to implementing recently developed asynchronous optical sampling (ASOPS) technique that requires no mechanically moving parts. We employ two different Ti:sapphire oscillators with slightly different repetition rates (F and F+Δ) to gate the emitter and detector (fig. 1). As a result, the lasers produce two pulses with a time delay linearly ramped between zero and 1/F. The beat frequency Δ determines time delay scan rate.
We will present the design of the emitter/detector system, first results of THz spectra recorded with this system, and discuss the critical issues, such as the type of the fibers employed. In the future it will be possible to investigate the broadband-THz properties of semiconductors and other solids in pulsed high magnetic fields. In addition, the ASOPS laser system can of course be employed for pump-probe spectroscopy at the 750-850 nm laser wavelengths.

Keywords: THz time-domain; asynchronous optical sampling (ASOPS)

  • Lecture (Conference)
    Semiconductor sources and detectors of THz frequencies - Détecteurs et Emetteurs de Radiations TéraHertz à Semiconducteurs, 06.-07.12.2006, Université Montpellier II, Place Eugène Bataillon,, France

Permalink: https://www.hzdr.de/publications/Publ-9299


The Effect of High Magnetic Field on the Photoresponse of Si:B Structures with Blocked Conductivity in the Impurity Band

Aronzon, B. A.; Drachenko, A. N.; Ryl’Kov, V. V.; Leotin, J.

The dependence of photoconductivity on the magnetic field has been studied for Si:B blockedimpurity- band (BIB) structures with the boron impurity concentration of ~10^18 cm^–3 in the active layer. Measurements were performed in the magnetic field B up to 30 T with the pulse length of 0.8 s in the temperature range T = 4.2–9 K, under irradiation of structures with the room-temperature background with ~10^16 photons/(cm^2s) intensity. It is established that, in the longitudinal configuration and with the magnetic field parallel to the electric field, the photocurrent decreases as B increases, mainly due to a decrease in the hole multiplication factor M in the magnetic field and/or an increase in the activation energy for hopping conductivity in the active layer. At T= 4.2 K, the photocurrent can drop by a factor of several tens. At the same time, at low bias voltage Vb , when M≈1, and at higher temperatures, T≈9 K, the photocurrent decreases no more than twofold in the field of ~30 T. It is found also that in the transverse configuration (with the magnetic field perpendicular to the electric field), the effect of the magnetic field on the photoresponse of a structure significantly increases (more than by an order of magnitude at T= 4.2 K). This fact can be explained by the accumulation of carriers in the blocking (undoped) layer of a BIB structure, which is related to increasing time of the hole flight across this layer due to high mobility of holes and strong bending of their trajectories in the transverse configuration.

Keywords: Si doped Boron Blocked impurity band photodetector; high magnetic field

Permalink: https://www.hzdr.de/publications/Publ-9298


Charge storage in silicon-implanted silicondioxide layers examined by scanning probe microscopy

Beyer, R.; Beyreuther, E.; von Borany, J.; Weber, J.

Ion beam synthesis is a promising technique to generate embedded nanoclusters in thin insulating layers for prospective memory devices. At present the electronic structure of clusters in oxide layers and the respective charge storage mechanism are not well understood. Moreover, it is still unclear, whether cluster-related or damage-related states in the silica network are predominant. Here, we report on the charge trapping in silicon implanted SiO2 layers using scanning capacitance microscopy and scanning force microscopy.
Silicondioxide layers on (100) oriented silicon with a thickness of 25nm were silicon implanted with different doses and subsequently annealed at high temperatures (1050°C/1150°C). Charge injection into the insulating layer was accomplished by applying a bias between the conductive probing tip and the substrate. Local as well as scanning injection in quadratic areas was performed in the contact mode. Scanning capacitance microscopy images taken at different times after injection show the charge patterns and their retention characteristics. For a quantitative estimate of the trapped oxide charge densities the peak shifts of the local dC/dV curves were evaluated. The strongest trapping effect was found for heavily silicon-implanted (2e16cm-2) SiO2 on p-substrate. Complementary information about local charge trapping was obtained from scanning force microscopy images. Sequences of voltages with different polarity were used to study the trapping/ detrapping kinetics and allowed a comparison of the degradation and the programmability of the different oxide layers.

Keywords: Scanning capacitance microscopy; Silicon oxide; Charge trapping; Ion beam synthesis

Permalink: https://www.hzdr.de/publications/Publ-9297


The influence of alternating magnetic fields on structure formation in Al-Si alloys during solidification

Willers, B.; Dong, J.; Metan, V.; Smieja, F.; Eckert, S.; Eigenfeld, K.

Solidification experiments were carried out using binary Al-Si alloys and the technical alloys AlSi9Cu3 and AlCu4TiMg. In this paper we present results obtained from solidification in a cylindrical container made from stainless steel exposed to rotating or travelling magnetic fields, respectively. The container bottom is positioned on a water-cooled copper chill allowing a directional solidification by a vertical heat flux. In parallel, the solidification in sandcasting was studied as well. Local temperatures were determined during the solidification process using a set of thermocouples. Our results disclose that the forced convection causes distinct modifications of the temperature and the concentration field. The electromagnetic stirring promotes the columnar-to-equiaxed transition (CET) and causes a considerable grain refinement. Modifications of the grain structure and effects of macrosegregation are discussed with respect to the flow field.

Keywords: solidification; Al-Si alloys; magnetic field; convection; dendritic growth

  • Contribution to proceedings
    5th Decennial International Conference on Solidification Processing, 23.-25.07.07, Sheffield, United Kingdom
    Proceedings of the 5th Decennial International Conference on Solidification Processing, Sheffield, 978-0-9522507-4-6, 168-171
  • Lecture (Conference)
    5th Decennial International Conference on Solidification Processing, 23.-25.07.2007, Sheffield, United Kingdom

Permalink: https://www.hzdr.de/publications/Publ-9296


Synthesis of nanostructures with ion beams

Heinig, K.-H.

An extended review will be given on the synthesis and modification of nanostructures using ion beams. The lecture is devided in two parts: The first hour will be used to discuss the impact of ion beam solid interactions on the thermodynamics of phase separation (nucleation, growth, Ostwald ripening, coalescence). It will be shown that the nonequilibrium steady-state under ion irradiation results in reaction pathways of the system which differs considerably from conventional thermodynamics (e.g. inverse Ostwald ripening, nanoparticle shape change, surface structure formation). The second hour will be used to demonstrate the full CMOS compatibility of ion beam processing. The ion beam is a well-controllable tool for selforganization of structures on the nanoscale. As an example, the ion beam synthesis of self-aligned Si nanocrystal layers in the gate oxide of MOS transistors will be discussed. Such layers are applied as discrete charge storage centers in an advanced version of FLASH memories.

Keywords: nanostructures; ion beam synthesis; fundamentals; advanced processing; phase separation; self-organisation; modeling; atomistic simulations

  • Invited lecture (Conferences)
    Nanotechnology Research Forum, 16.-20.10.2006, Ankara, Turkey

Permalink: https://www.hzdr.de/publications/Publ-9295


Nucleation and nanostructure formation at mixed interfaces

Heinig, K.-H.; Schmidt, B.; Müller, T.; Roentzsch, L.

Interfaces between two immiscible phases A and B are rather abrupt at thermodynamic equilibrium. A compositional mixing of the interface over a thickness of several nanometers can be achieved by ion irradiation. The interface reforms during thermal treatment of this nonequilibrium state, thereby following an interesting reaction pathway: Phase separation at the former interface position occurs via spinodal decomposition, while the supersaturation in the tails of the mixing profil leads to nucleation and growth of nanocluster layers. The two nanocluster layers are narrow and separated from the recovered abrupt interface by zones denuded of the impurity phase. This kind of heteronucleation and nanostructure growth has been studied by comprehensive atomistic computer simulations as well as experimentally, especially for the Si/SiO2 interface. In collaboration with industry, this nanoluster layers where functionalised as discrete charge storage centers in nonvolatile (FLASH) memories.

Keywords: nanostructure synthesis; interface; ion beam mixing; phase separation; self-organisation; kinetik lattice Monte-Carlo simulation

  • Lecture (Conference)
    International Workshop on Polymorphism in Condensed Matter, 13.-17.11.2006, Dresden, Germany

Permalink: https://www.hzdr.de/publications/Publ-9294


Doubly-stacked Si dots in SiO2 formed by ion beam mixing – a way to improve retention of nanocrystal memories

Heinig, K.-H.; Roentzsch, L.

Nonvolatile nanocluster (NC) memories fabricated by Si ion implantation/irradiation of SiO2 gate oxides have some key parameters which are superior to those of state-of-theart devices [1-3]. However, data retention is below industrial requirements. Former studies have proven that a doubly-stacked nanocluster structure can improve retention by several orders of magnitude [4]. However, it is difficult to fabricate pairs of NCs where a big NC is located above a smaller ones. Additionally, the NCs of a pair must have a narrow intercluster spacing, and the smaller NCs must be located just a few nm above the Si substrate. Here, based on atomistic process simulations, a novel fabrication technique of selfaligned doubly-stacked Si NCs will be presented. It is assumed that a layer of large (<10nm) Si NCs is placed on top of ~10nm thermal oxide by a conventional (e.g. CVD) technique. This structure is covered by a control oxide. Tiny (~3 nm), self-aligned NCs between the large NCs and the substrate form during annealing after energetic ion irradiation. As described in ref. [5], ion beam mixing at Si/SiO2 interfaces results in the formation of tiny NCs. Here, mixing from the substrate and the large NCs lead to selective formation of tiny NCs below the large NCs. The stability of this CMOS-compatible processing for memory fabrication will be evaluated.
[1] B. Schmidt, K.-H. Heinig et al., Nucl.Instr.Meth. B242, 146 (2006).
[2] P. Dimikratis et al., J.Phys.Conf.Ser. 10, 7 (2005).
[3] T. Müller, K.-H. Heinig et al., Appl. Phys. Lett. 85, 2373 (2004).
[4] R. Ohba et al., IEEE Trans. El. Dev. 49, 1392 (2002).
[5] K.-H. Heinig et al., Appl. Phys. A 77, 17–25 (2003).

Keywords: nanostructures; FLASH memory; silicon; silica; ion irradiation; self-organization; modeling; computer simulation

  • Poster
    15th International Conference on Ion Beam Modification of Materials, 18.-22.09.2006, Taormina, Italy

Permalink: https://www.hzdr.de/publications/Publ-9293


Nanostructure growth and modification with ion beams

Heinig, K.-H.

Nanocluster ensembles and nanowires can be synthesised in surface layers of various substrates by high dose ion implantation. A detailed understanding of the complex processes like ion deposition and subsequent phase separation has been achieved by atomistic computer simulations. This understanding helps to tailor nanostructures for applications. Examples are the synthesis of very narrow Si nanocluster layers in SiO2 for nanodot memories and of ion-beam-shaped metallic nanorods for photonics. Recently it has been demonstrated that ion beams can be also used to change properties of nanostructures drastically. Thus, at elevated temperatures, irradiation of nanocluster ensembles results in a narrowing of the size distribution, which can be described as “inverse Ostwald ripening”. Irradiation of single-crystalline but chemically disordered nanostructures assists chemical ordering of alloys like FePt, which has a very high magnetic anisotropy and is, therefore, a favorite material for future magnetic recording.

Keywords: nanostructures; ion beam synthesis; phase separation; modeling; atomistic computer simulation

  • Lecture (others)
    Physikalisches Kolloquium, I. Physikalisches Institut der RWTH Aachen, 25.01.2006, Aachen, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9292


Growth and modification of nanostructures with ion beams

Heinig, K.-H.

Nanocluster ensembles and nanowires can be synthesised in surface layers of various substrates by high dose ion implantation. Atomistic computer simulations lead to a detailed understanding of ion deposition and subsequent precipitation [1]. Recently it has been demonstrated that ion beams can be also used to change properties of nanostructures drastically. Thus, at elevated temperatures, irradiation of nanocluster ensembles results in a narrowing of the size distribution, which can be described as “inverse Ostwald ripening” [2]. Irradiation of single-crystalline but chemically disordered nanostructures assists chemical ordering of alloys like FePt, which has a very high magnetic anisotropy in its well-ordered state and is, therefore, a favorite material for future magnetic recording [3]. And finally, metallic nano-spheres in SiO2 can be shaped into rods or even wires by high-energy ion irradiation. This contribution will review theoretical studies and atomistic computer simulations on the phenomena listed above. It will be shown that all this phenomena have a common origin, the competition between two driving forces: (i) ion beam induced disordering (interface mixing, defect generation, …) which drives the system far from equilibrium and (ii) thermally activated processes like diffusion and phase separation which drives the system back towards the thermodynamic equilibrium.
[1] M. Strobel, K.-H. Heinig, W. Möller, Phys. Rev. B 64, 245422 (2001); T. Müller, K.H. Heinig, and W. Möller, Appl. Phys. Lett. 81, 2373 (2002); T. Müller, K.H. Heinig et al., Appl. Phys. Lett. 85, 2373 (2004).
[2] K.-H. Heinig et al., Appl. Phys. A 77, 17–25 (2003).
[3] H. Bernas, J.-Ph. Attane, K.-H. Heinig et al., Phys. Rev. Lett. 91, 077203 (2003).

Keywords: nanostructures; ion implantation; ion irradiation; modeling; computer simulation

  • Invited lecture (Conferences)
    International Workshop on Nanostructured materials (Nanomat2006), 21.-23.06.2006, Antalya, Turkey

Permalink: https://www.hzdr.de/publications/Publ-9291


Thermal instability of copper nanowires

Toimil-Molares, M. E.; Karim, S.; Sigle, W.; Heinig, K.-H.; Röntzsch, L.; Trautmann, C.; Cornelius, T. W.; Neumann, R.

Copper nanowires have been fabricated by electrochemical deposition into hollows, which are formed by selective etching of swift heavy ion tracks in thin foils. After removal of the matrix. the free copper nanowires are heated to about 500 °C, whre they decay into chains of nanodots. It is proven that the nanowires decay well below the melting temperature of copper is due to the Rayleigh instability. Copper nanowires fabricated in polycarbonate foils are covered by polymer rests after matrix removal. We call them metal wires within Carbon NanoTubes (CNT). In situ annealing in a transmission electron microscope (TEM) reveals an interesting evolution of these nanostructures: Surface minimization leads to a copper droplet formation at one of the openinigs of the CNT. The emtying of the CNT accelerates with the inverse droplet volume. Modeling results in an analytical description as well as in atomistic computer simulations, which are in excellent agreement with the experiment. This well-defined process could be used to measure materials parameters at the nanoscale.

Keywords: nanostructure; swift heavy ion irradiation; carbon nanotube; nanowire; copper; modelling; computer simulation

  • Poster
    Gordon Research Conference "Electrodeposition", 30.07.-04.08.2006, New London, NH, USA

Permalink: https://www.hzdr.de/publications/Publ-9290


Shaping of nanometals by swift heavy ions

Dawi, E.; Mink, M.; Heinig, K.-H.; Toulemonde, M.; Nordlund, K.; Vredenberg, A.

Metal nanorods and nanowires have great potential in a wide range of fields, because of their tunable (by shape and size) optical and magnetic properties. We present and discuss an unique way for producing perfectly parallel nanorods and -wires that are embedded in a solid. Starting from monodisperse spherical Au nanocolloids (15-50 nm diameter) in a silica matrix we show that the colloids are shaped controllably into rods -- and at later stages -- wires under heavy-ion irradiation above a threshold energy loss of ~6keV/nm. The threshold coincides with the formation of a continuous molten ion track, as follows from ion track temperature calculations. Nanowires of ~10nm diameter with lengths up to several hundred nanometer form and align parallel to the ion beam in the fluence regime of 1014-1015 ions/cm2. Based on experiments with different colloid sizes and concentrations we conclude that all Au nanospheres initially elongate into nanorods, with their long axis along the ion track. Above a critical fluence (e.g. 1x1014/cm2 for 54 MeV Ag) individual nanorods disintegrate while others continue to grow by uptake of the atoms of the disintegrated particles. This novel type of ripening process is corroborated by 3D kinetic Monte Carlo simulations.
Based on atomistic simulations we suggest that the lengthening is a result of thermocapillarity, which drives material of a gold nanosphere that is touched by an ion track from the hot equator to the colder pole regions. Temperature gradients of several billions Kelvin per cm can be reached, conditions that are similar to femtosecond laser processing of Au layers [1] where frozen nanojets have been observed.
[1] F. Korte, J. Koch, and B.N. Chichkov, Appl.Phys. A 79 (2004) 879-881

Keywords: nanostructure; high-energy ion irradiation; shaping; gold; silica; nanowires; modeling; kinetic Monte-Carlo simulations

  • Lecture (Conference)
    15th International Conference on Ion Beam Modification of Materials, 18.-22.09.2006, Taormina, Italy

Permalink: https://www.hzdr.de/publications/Publ-9289


Mechanisms of swift-heavy-ion-assisted shaping of Au nanospheres into wires and their subsequent “Ostwald ripening”

Heinig, K.-H.; Vredenberg, A.; Toulemonde, M.; Nordlund, K.

At the IBMM conference 2004 (Monterey), Arjen Vredenberg has shown for the first time that Au nanospheres embedded in a SiO2 layer change their shape significantly under swift heavy ion irradiation. At fluences of a few 1014cm-2, Au spheres of 15 nm diameter transform into rods. They become even a few hundert nm long wires at higher fluences. Several Au nanospheres are consumed for the formation of such long wires. The ion efficiency of shaping increases linearly with the electronic energy transfer to the SiO2 matrix, starting at a threshold of about 6 keV/nm. Because optical and magnetic properties of metal nanoparticles depend strongly on shape anisotropy, ion beam shaping may have potential for tuning nanomaterials in fields like photonics or magnetic data storage. Here we present models and atomistic computer simulations on ion beam shaping. Ion beam shaping occurs if the ion track temperature exceeds the SiO2 melting temperature, which was concluded from calculations of radial temperature-time profiles of ion tracks. Using the solubility (several ppm) and the high diffusivity of Au in molten silica, the “ripening” of the wires can be attributed to anisotrope, spatio-temporal limited Au diffusion in ion tracks, as it was proven by atomistic simulations. Thermocapillarity has been identified as a huge force elongating Au nanoparticles in SiO2 at the sub-picosecond timescale. Kinetic Monte Carlo simulations reproduce the experimental shape evolution. Preliminary molecular dynamics studies introduce the correct kinetics and explain the low fluence necessary for ion beam shaping.

Keywords: nanostructure; high-energy ion irradiation; gold; silicon; shaping; modeling; computer simulation

  • Lecture (Conference)
    15th International Conference on Ion Beam Modification of Materials, 18.-22.09.2006, Taormina, Italy

Permalink: https://www.hzdr.de/publications/Publ-9288


Optical and compositional analysis of functional SiOxCy:H coatings on polymers

Martin-Palma, R. J.; Gago, R.; Torres-Costa, V.; Fernandez-Hidalgo, P.; Kreissig, U.; Martinez Duart, J. M.

At present, optical polymers are increasingly replacing glass in several applications, including the field of ophthalmic lenses. In the present work, protecting and optical SiOxCy:H coatings have been deposited by Plasma Enhanced Chemical Vapor Deposition onto polymeric substrates at low temperature from hexamethyldisiloxane (HMDSO) and oxygen precursors. The best mechanical properties are obtained for composition graded SiOxCy:H layers, in which the O2/HMDSO ratio is varied during deposition. The optical properties of the protective layers, in particular the spectral behavior of the refractive index and extinction coefficient, have been calculated from the transmittance and reflectance spectra. Elastic Recoil Detection Analysis was used to obtain information about the elemental composition of the layers as a function of the deposition parameters.

Keywords: Silicon oxycarbide; Optical coating; Visible spectrometry; Plasma-enhanced CVD; Elastic recoil detection

  • Thin Solid Films 515(2006), 2493-2496

Permalink: https://www.hzdr.de/publications/Publ-9287


Shaping of nanometals by high energy ion beams

Vredenberg, A.; Dawi, E.; Mink, M.; Heinig, K.-H.; Toulemonde, M.; Nordlund, K.; Kuronen, A.

Metal nanorods and nanowires have great potential in a wide range of fields, because of their tunable (by shape and size) optical and magnetic properties. We present a new and unique way of producing nanorods and -wires, embedded in a solid, that are aligned in the same direction. Starting from spherical Au nanocolloids in a silica film we will show that the colloids are shaped controllably into rods and -at later stages- wires by irradiation with an MeV heavy ion beam. The ion-beam induced anisotropy (from a spherical colloid to a rod) is caused by the highly anisotropic ion track: a long, few nm diameter cylinder of highly excited material. The colloids elongate and form rods with their long axis in the direction of the ion beam. The mechanism of this deformation is still under investigation, but we will discuss possible origins, involving anisotropy in mechanical or mass balance gradients. We will also discuss the potential of these individually shaped nanoparticles in applications such as nano(bio-)sensors, upconverters for solar cells, magnetic nanodevices, smart optical materials with negative index of refraction (left-handed materials.

Keywords: nanostructures; shaping; nanowire; high-energy ion irradiation; gold; silica; modeling; computer simulation

  • Invited lecture (Conferences)
    MRS Fall Meeting 2006, Symposium "Self Assembly of Nanostructures Aided by Ion- or Photon-Beam Irradiation---Fundamentals and Applications", 27.-29.11.2006, Boston, USA

Permalink: https://www.hzdr.de/publications/Publ-9285


Synthesis of aligned nanowire arrays by shaping of nanometals with swift heavy ions – modelling and atomistic simulations

Heinig, K.-H.; Vredenberg, A.; Toulemonde, M.; Nordlund, K.

The functionality of nanoparticles can be extended by shape anisotropy. Thus, for future hard disks, rod-like nanomagnets are more resistant against thermally activated spin flipping than spheres, and, for photonics, light is guided as surface plasmon-polariton along a chain of rods with less damping than along a chain of spheres. Recently it has been shown [1] that Au nanospheres embedded in SiO2 can be shaped into rods (and even wires) by swift heavy ion irradiation. The underlying mechanisms are largely unknown. Van Dillen has proven [2] that the Trinkaus model [3], which describes successfully the ion beam shaping of dielectrics/semiconductors, can not be applied to ion beam shaping of metal nanoparticles. Here, a consistent mechanism of ion beam shaping and nanowire ripening will be presented. Using the temperature-time profiles of ion tracks in SiO2 as delivered by Toulemonde [4], atomistic computer experiments performed with kinetic Monte-Carlo and Molecular Dynamics codes reproduce the experimental results [5]. Our comprehensive numerical studies facilitate a further optimisation of ion beam shaping.
[1] A. Vredenberg et al., IBMM2004 Conf., Monterey (USA), Sept5 - 10, 2004.
[2] T. van Dillen, Int. Workshop “Ion Beam Shaping”, Amsterdam (Netherlands), Dec17, 2004.
[3] H. Trinkaus, J. Nucl. Mater. 223, 196 (1995).
[4] M. Toulemonde, Nucl. Instr. and Methods B66/67, 903 (2000), and private comm..
[5] K.-H. Heinig, talk OO7.5 at the MRS2005 Fall Meeting, Nov28-Dec01, 2005, Boston (USA).

Keywords: nanostructures; shaping; high-energy ion-irradiation; gold; silica; modeling; computer simulations

  • Lecture (Conference)
    E-MRS IUMRS ICEM Spring Meeting 2006, Symposium "Science and Technology of Nanotubes and Nanowires", 29.05.-02.06.2006, Nice, France

Permalink: https://www.hzdr.de/publications/Publ-9284


Self-aligned doubly-stacked Si dots fabrication for improved data retention of nanocrystal memories

Heinig, K.-H.; Roentzsch, L.

Nonvolatile nanocluster (NC) memories fabricated by Si ion implantation/irradiation of SiO2 gate oxides have some key parameters which are superior to those of state-of-the-art devices [1-3]. However, data retention is still below industrial requirements. Former studies have proven that a doubly-stacked nanocluster structure can improve retention considerably [4]. However, it is difficult to fabricate NC pairs with a precise intercluster spacing at a precise distance above the Si substrate. Here, based on atomistic process simulations, a novel fabrication technique of self-aligned doubly-stacked Si NCs will be presented. It is assumed that a layer of large (<10nm) Si NCs is placed on top of ~10nm oxide by a conventional (e.g. CVD) technique. This structure is covered by a control oxide. Tiny (~3nm),self-aligned NCs between the large NCs and the substrate form during annealing after energetic ion irradiation. As described in ref.[5], ion beam mixing at Si/SiO2 interfaces results in Si NCs growth. Here, mixing from the substrate and large NCs lead to selective NC formation below the large NCs. The stability of this CMOS-compatible processing will be evaluated.
[1] B. Schmidt, K.-H. Heinig et al., Nucl.Instr.Meth. B242, 146 (2006).
[2] P. Dimikratis et al., J.Phys.Conf.Ser. 10, 7 (2005).
[3] T. Müller, K.-H. Heinig et al., Appl. Phys. Lett. 85, 2373 (2004).
[4] R. Ohba et al., IEEE Trans. El. Dev. 49, 1392 (2002).
[5] K.-H. Heinig et al., Appl. Phys. A 77, 17–25 (2003).

Keywords: Nanostructures; silicon; silica; ion-irradiation; self-organization; modeling; kinetic Monte-Carlo simulation

  • Lecture (Conference)
    E-MRS IUMRS ICEM Spring Meeting 2006, Symposium "Silicon Nanocrystals for Electronic and Sensing Applications", 29.05.-02.06.2006, Nice, France

Permalink: https://www.hzdr.de/publications/Publ-9283


CMOS compatible bottom-up approach of multi-dot floating-gate nonvolatile memory fabrication.

Heinig, K.-H.; Schmidt, B.; Mueller, T.; Roentzsch, L.; Stegemann, K.-H.

Scalability and performance of current FLASH memories could be improved substantially by novel devices based on multi-dot floating gate MOSFETS. Until today, ten years of research effort have been devoted to Tiwari`s idea [1] to replace the poly-silicon floating-gate of FLASH memories by a layer of Si nanocrystals. Although several groups and companies developed test-devices, a breakthrough was not achieved due to two main reasons: (i) The CMOS compatible fabrication of the layer of nanocrystals remains a great challenge (monolayer of monodisperse Si nanocrystals of high density, which has to be embedded in the gate oxide at a controlled tunnel distance of a few nm above the Si channel). (ii) The retention of the test-devices did not reach the industrial standard. Here, we present a CMOS compatible bottom-up approach of a multi-dot floating-gate nonvolatile memory fabrication which is based on ion-beam mixing of Si-SiO2 interfaces [2]. By energetic Si ion irradiation through the poly-Si gate and the gate oxide into the Si substrate, a SiOx layer forms in the interface region. During post-irradiation annealing, the flat Si/SiO2 interface rebuilts rapidly by spinodal decomposition and interface area minimization. However, in the tail of the mixing profile, Si excess nucleates in the gate oxide layer forming Si nanocrystals. These nanocrystals are separated from the substrate by a few nm thin SiO2 layer which is free of Si excess. Experimental and atomistic computer simulation studies of this bottom-up approach will be presented. Electrical characteristics of devices, which were fabricated in an industrial environment, will be shown. Predictions to overcome the main drawback in view of applicability as memory devices, i.e. the data retention of only a few months at room temperature, will be discussed.
[ 1] S. Tiwari et al., IEEE Int. Electron Devices Meeting Technical Digest, 521–524 (1995).
[ 2] K.-H. Heinig, T. Müller, B.Schmidt, M. Strobel, W. Möller, Appl. Phys. A 77, 17–25 (2003).

Keywords: nanostructures; silicon; silica; ion-irradiation; self-organization; FLASH memory; modeling; process simulation

  • Lecture (Conference)
    MRS Spring Meeting 2006, Symposium "Science and Technology of Nonvolatile Memories", 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9282


Predictive process simulation of Si nanocluster layer formation by low-energy ion implantation

Heinig, K.-H.; Mueller, T.; Schmidt, B.

Memory cells consisting of a metal-oxide-semiconductor field-effect transistor (MOSFET) with a charge-storage floating-gate made of silicon nanocrystals (ncs) are promising candidates for high-storage density low-power memory applications. The information is stored in the floating gate that can be charged and discharged either from the control gate or from the channel of the transistor. Floating gates consisting of Si ncs have been fabricated through the use of different deposition techniques. The multi-dot layer in the very thin gate oxide can be fabricated CMOS-compatibly by ion beam synthesis (IBS) [1]. Here, we present theoretical studies on IBS of multi-dot layers
consisting of Si nanocrystals (NCs) [2]. The NCs are produced by ultra low energy Si ion implantation, which causes a high Si supersaturation in the shallow implantation region. During post-implantation annealing, this supersaturation leads to phase separation of the excess Si from the SiO2. Kinetic lattice Monte Carlo simulations of Si phase separation have been performed and compared with EFSTEM images [3]. It has been predicted theoretically that the morphology of the multi-dot Si floating gate changes with increasing ion fluence from isolated, spherical NCs to percolated spinodal Si pattern. These patterns agree remarkably with EFSTEM images. However, the predicted fluence for spinodal pattern is lower than the experimental ones. Because oxidants of the ambient atmosphere penetrate into the asimplanted SiO2, a substantial fraction of the implanted Si is lost due to oxidation.
[1] K.-H. Heinig, T. Müller, B.Schmidt, M. Strobel, W. Möller, Appl. Phys. A 77, 17–25 (2003).
[2] T. Müller, K.H. Heinig, and W. Möller, Appl. Phys. Lett. 81, 2373 (2002).
[3] T. Müller, K.H. Heinig, W. Möller, C. Bonafos, H. Coffin, N. Cherkashin, G. Assayag, S. Schamm, G. Zanchi, A. Claverie, M. Tencé, C. Colliex, Appl. Phys. Lett. 85, 2373 (2004).

Keywords: nanostructures; silicon; silica; low-energy ion implantation; non-volatile memory; modeling; phase separation; process simulation

  • Poster
    MRS Spring Meeting, Symposium "Science and Technology of Nonvolatile Memories", 17.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9281


Experimente an der Versuchsanlage ROCOM zur Bestimmung der minimalen Borkonzentration bei postulierten Störfällen mit kleinem Leck im heißen Strang

Kliem, S.; Sühnel, T.

Die in diesem Bericht dokumentierten Ergebnisse sind vertraulich.

  • Article, self-published (no contribution to HZDR-Annual report)
    Forschungszentrum Rossendorf 2006
    FZD\FWS\2006\03
    75 Seiten
    ISSN: 1437-322X

Permalink: https://www.hzdr.de/publications/Publ-9280


Ion beam shaping of nanometals: process modeling and atomistic simulations of extreme conditions

Heinig, K.-H.; Vredenberg, A.; Toulemonde, M.; Nordlund, K.

Recently, a novel type of ion-beam induced deformation of metal nanoobjects has been found. Under heavy ion irradiation, Au nanospheres in a silica matrix first elongate into rods. At higher fluences they combine into nanowires that continue to grow during irradiation. Such anisotropically shaped metal nanoparticles may have great potential in a wide range of fields. For example, nanorods exhibit a split plasmon resonance, with one of the bands shifting into the infrared. Arrays of such particles have a great potential as nanophotonic guides in the (infra)red, an important telecom wavelength regime, but outside the range of plasmon resonances of spherical metal particles. Here, we present a model and atomistic computer simulations of this ion beam shaping. The experimental lower threshold for ion beam shaping of 6 keV/nm ion energy deposition along the ion track coincides with the theoretically required energy for melting of SiO2 in the ion track of a few nanometer diameter. Heating occurs on a timescale of a few tens of fs. Thus, temperature gradients of several billion Kelvin per cm can be reached. The Au nanosphere experiences such an extreme environment if it is touched by an ion track. These extreme conditions are similar to femtosecond laser processing of Au layers where frozen nanojets have been observed. Laser-induced nanojet formation and ion beam shaping have obviously the same driving force: Based on atomistic simulations we prove that thermocapillarity drives material of a Au nanosphere, which is touched by an ion track, from the hot equator to the colder pole regions(Thermocapillarity is the driving force for the wellknown Marangoni effect). Additionally, the transiently extreme high temperature in the molten SiO2 ion track dissolves Au, which diffuses fast and precipitates during cooling into tiny Au clusters. Superposition of tracks leads to a highly anisotropic “track diffusion” transport of Au from short nanorods to longer ones, which can be considered as a special case of Ostwald ripening.

Keywords: nanostructure processing; high-energy ion irradiation; gold nanowires; silica; extreme conditions; kinetic Monte-Carlo simulations; thermocapillarity

  • Lecture (Conference)
    MRS Spring Meeting 2006, Symposium "Materials in Extreme Conditions", 20.-21.04.2006, San Francisco, USA

Permalink: https://www.hzdr.de/publications/Publ-9279


In-situ observation o Ni-Ti thin film growth by synchrotron radiation scattering

Martins, R. M. S.; Braz Fernandes, F. M.; Silva, R. J. C.; Beckers, M.; Schell, N.

A sputter deposition hamber inserted into the six-circle Huber diffractometer of the materials research station of the ROssendorf BeamLine (ROBL-CRG) at ESRF allowed to perform in-situ experiments during film growth of Ni-Ti. It is equipped with Kapton windows for X-Ray Diffraction (XRD) and specular Reflectivity (XRR) measurements. By following in situ the evolution of the structure of the growing film, we reveal intermediate “states” which cannot be seen/revealed ex situ , because those states ocurred only during the growth but were no longer visible after deposition. Vertical Bragg-Brentano large-angle scattering geometry was employed to study the different trends of structural transformations taking place during deposition. Ni-Ti films exhibiting a non-uniform phase content across the film thickness could be produced by varying the power of co-sputtering Ni-Ti plus Ti. A significant decrease of IB2{110}/IB2{200} was observed when a bias of -45 V was applied.

  • Materials Science Forum 514-516(2006), 1588-1592

Permalink: https://www.hzdr.de/publications/Publ-9278


Visualisation of the concentration distribution and the flow field in solidifying metallic melts by means of X-ray radioscopy

Boden, S.; Willers, B.; Eckert, S.; Gerbeth, G.

Capabilities of the X-ray attenuation contrast radioscopy will be demonstrated to provide a real-time diagnostic technique of the melt flow during solidification of Ga-In alloys. Directional solidification processes of binary metallic Ga-In alloys were visualised using a microfocus X-ray tube. The X-ray facility provided shadow radiographs at spatial resolutions of about 10 µm at frame repetition rates of 25 Hz. The optical flow approach has been adapted to determine the velocity of the liquid, the mass transport and the dendritic growth rate in the mushy zone from translocations of concentration contour lines appearing during the image sequence. Buoyancy-driven flow patterns were found ahead of the solidification front at length scales much larger than the dendrite spacing.

  • Contribution to proceedings
    5th Decennial International Conference on Solidification Processing, 23.-25.07.2007, Sheffield, UK
    Proceedings of the 5th Decennial International Conference on Solidification Processing, Sheffield, 978-0-9522507-4-6, 311-315
  • Lecture (Conference)
    5th Decennial International Conference on Solidification Processing, 23.-25.07.2007, Sheffield, UK

Permalink: https://www.hzdr.de/publications/Publ-9277


Charge trapping phenomena in high-efficiency metal-oxide-silicon light-emitting diodes with ion-implanted oxide

Nazarov, A.; Osiyuk, I.; Tyagulskii, I.; Lysenko, V.; Prucnal, S.; Sun, J.; Skorupa, W.; Yankov, R. A.

This work focuses on the processes of charge trapping in SiO2 layers doped with either rare-earth impurities or Ge. Diode SiO2/Si structures incorporating such oxide layers exhibit efficient electroluminescence (EL) in the spectral range of UV to IR. Analyses of the charge trapping and the variation of the EL intensity during electron injection enable three injection levels to be identified (low, medium and high). The nature of specific hole trapping at the medium injection level is discussed.

Keywords: rare-earth doping; charge trapping; light-emitting diodes; electroluminescence

  • Journal of Luminescence 121(2006)2, 213-216

Permalink: https://www.hzdr.de/publications/Publ-9276


Characterization of Ga-Bi Liquid Metal Alloy Ion Source

Akhmadaliev, C.; Pilz, W.; Bischoff, L.

Liquid metal alloy ion sources (LMAIS) are now of increasing interest in mass-separated focused ion beam (FIB) systems where a variety of projectile ions can be used. Typically, these can be focused into diameters of the order of 10 nm, with current densities of several A/cm2 giving probe currents of 1 pA -30 nA.
A Gallium-Bismuth LMAIS with melting point temperature of 222°C has been studied. The ion source was based on a mechanical treated Ta emitter. The emission characteristics of the source were investigated. From a detailed analysis of the source mass spectra as a function of emission current, the mechanism of single and double-charged ions emission is established. Good agreement is obtained comparing these results with Swanson’s investigations of a pure Bi source.
GaBi LMAIS allows to provide FIB structuring of a silicon substrate using either shallow donor ions (Bi) or acceptors ions (Ga) without changing the ion source.

Keywords: Focused ion beam; liquid metal ion source; shallow donor

  • Lecture (Conference)
    The European Focused Ion Beam Users Group Meeting(EFUG), 02.10.07, Wuppertal, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9275


Low energy Ar ion accelerated diffusion of interstitial nitrogen

Abendroth, B.; Abrasonis, G.; Möller, W.; Ma, X. X.

Interstitial N diffusion under low energy (700 eV) Ar+ bombardment at 673 K in ion beam nitrided austenitic stainless steel is investigated. Ar+ ion bombardment increases the N mobility in depths far beyond the ion penetration depth, resulting in an increased broadening of the N depth profile as a function of Ar+ flux. This effect cannot be explained by any established mechanism of radiation-enhanced diffusion. An explanation based on quasiparticle-enhanced mobility is proposed.

  • Lecture (Conference)
    13. Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen", 14.-16.03.2006, Mühlleithen, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9274


Simulations of FZR adiabatic air-water data with CFX-10

Krepper, E.; Lucas, D.; Shi, J.-M.; Prasser, H.-M.

The CFD simulation of an adiabatic gas-liquid flow requires an adequate characterisation of the momentum exchange between the phases. This report describes the CFX simulation of adiabatic air/water bubbly flow in a vertical pipe and the comparison to experimental results for several test conditions that were obtained in FZ-Rossendorf. The measured cross sectional distribution of the gas volume fraction enables the validation of the applied models for the momentum exchange between the liquid and the gaseous phase. The drag bubble force determines the flow resistance in flow direction and influences the gas volume fraction for given superficial gas and liquid velocities. The non drag forces, namely the lift-, the wall- and the turbulent dispersion forces act perpendicularly to the flow direction and influence the cross sectional gas volume fraction distribution. Their correct simulation is important, since they have an essential influence on the flow regime.
In the report the model approaches for the drag and the non drag bubble forces are described. Several tests gained at MT-Loop that show low gas volume fractions are presented. These can be described by only one dispersed gaseous phase having a certain bubble size. These experiments are therefore suited for the validation of the non drag force models.
Increasing the gas injection rate, a bubble size distribution including bubble coalescence and fragmentation has to be considered. The paper describes the concept of the inhomogeneous MUSIG model, which is implemented in CFX-10. Several tests from MT-Loop and from TOPFLOW are presented to demonstrate the applicability of the model.
The simulations are intended for the comparison to other CFD models e.g. in the NURESIM-CFD platform.

Keywords: Two phase flow; CFD; drag forces; non drag forces; population balances; experiments; model validation

  • Article, self-published (no contribution to HZDR-Annual report)
    Forschungszentrum Rossendorf 2006
    NURESIM-SP2-TH-D2.2.3.1 (June 2006)
    22 Seiten
    ISSN: 1437-322X

Permalink: https://www.hzdr.de/publications/Publ-9273


Progress report on the simulation of the plunging jet configuration. EU projetc NURESIM Deliverable D2.1.3.1 (June 2006)

Bodèle, E.; Lucas, D.

This progress report presents the preliminary work done for the numerical prediction of the plunging jet configuration. It consists in the definition of the most appropriate numerical configuration which should permit to obtain the most realistic behaviour of the gas below the free liquid surface. Several numerical domain (mesh and boundary conditions), numerical assumptions (steady state or transient calculations) and numerical models (mainly the effects of the non drag forces acting on the gas phase) have been tested. This report presents the most realistic numerical results and concludes on the most appropriate numerical domain and assumptions for the following tasks.

Keywords: plunging jet; Pressurized Thermal Shock (PTS); non drag forces; numerical simulation; CFX

  • Article, self-published (no contribution to HZDR-Annual report)
    Forschungszentrum Rossendorf 2006
    0039 Seiten
    ISSN: 1437-322X

Permalink: https://www.hzdr.de/publications/Publ-9272


Synthesis report on work package 2.1: Pressurized Thermal Shock (PTS)

Lucas, D.

This report summarizes the results of the first 18 months period of the NURESIM project for the workpage 2.1 “Pressurized Thermal Shock (PTS)”. It mainly bases on the deliverables supplied by the partners involved in this workpage. In the Introduction chapter some more general information on the PTS issue is given, which should help to clarify the integration of the single activities. Since the PTS scenario involves different flow situations, for which also different modelling approaches are necessary, the contributions are sorted according to these flow situations. The relations of the work done to the general aim of the NURESIM project, which is to establish a new code platform, is indicated by assigning the activities to 6 different types. The present status PTS workpage is in agreement with the planning of the NURESIM project, the expected results were met by the deliverables. The work done leads to an improvement of the simulation capabilities regarding a PTS flow situation, but caused by the complexity of the issue it will still be a long way to enable reliable predictions for the whole process from such simulations.

Keywords: PTS

  • Article, self-published (no contribution to HZDR-Annual report)
    Forschungszentrum Rossendorf 2006
    FZD\FWS\2006\06
    19 Seiten
    ISSN: 1437-322X

Permalink: https://www.hzdr.de/publications/Publ-9270


Mass separated FIB applications from alloy liquid metal ion sources

Bischoff, L.; Akhmadaliev, C.

During the last decades, the focused ion beam (FIB) became a very useful and versatile tool in the microelectronics industry, as well as in the field of research and development. For special purposes like writing ion implantation or ion mixing in the µm- or sub-µm range ion species other than gallium are needed. Therefore alloy liquid metal ion sources (LMIS) were developed. The energy distribution of the ions from an alloy LMIS is one of the determining factors for the performance of an FIB column. Different source materials like Au73Ge27, Au82Si18, Au77Ge14Si9, Co36Nd64, Er69Ni31, and Er70Fe22Ni5Cr3 were investigated with respect to the energy spread of the different ion species as a function of emission current I, ion mass m and emitter temperature T. For singly charged ions a predicted dependence of the energy spread, DE µ I2/3 m1/3 T1/2 found for Ga could be reasonable confirmed. The alloy LMIS`s discussed above have been used in the Rossendorf FIB system IMSA-OrsayPhysics especially for writing implantation to fabricate sub-µm pattern without any lithographic steps. A Co-FIB obtained from a Co36Nd64 alloy LMIS was applied for the ion beam synthesis of CoSi2 micro-structures down to 60 nm. Additionally, the possibility of varying the current density of the FIB by changing the pixel dwell-time was used for investigations of radiation induced damage and its dynamic annealing in Ge, Si and SiC at elevated implantation temperatures. Furthermore, a broad spectrum of ions was employed to study the sputtering process depending on temperature, angle of incidence and ion mass on a couple of target materials using the volume loss method. Especially this direct patterning 3D technique was used for the fabrication of various kinds of micro-tools.
All these examples underline the importance of FIBs in modern research and the new possibilities opened up by a mass separated system applying a broad spectrum of ion species.

Keywords: FIB; alloy LMIS; micropatterning; tools; CoSi2

  • Invited lecture (Conferences)
    FIB User Meeting 2006, 18.-19.05.06, Fufeau, France

Permalink: https://www.hzdr.de/publications/Publ-9269


Sicherheit von Kernkraftwerken - Beiträge des Forschungszentrums Dresden-Rossendorf

Willschütz, H.-G.; Weiß, F.-P.

Zur Zeit werden weltweit über 440 Kernkraftwerke im kommerziellen Leistungsbetrieb eingesetzt. Die überwiegende Mehrheit sind sogenannte Leichtwasserreaktoren (LWR). Das Risiko, dass es beim Betrieb von LWR der heutigen Generation zu einem schweren Unfall (engl. Severe Accident, SA) mit partiellem oder vollständigem Abschmelzen des Reaktorkerns kommt, ist gering. Es lässt sich aber trotz umfangreicher Sicherheitsvorkehrungen nicht vollständig ausschließen.
Bei der Entwicklung und Auslegung von Kernkraftwerken wurde von Beginn an ein erhöhtes Sicherheits- beziehungsweise Schutzkonzept verfolgt, weil bekannt war, dass das radioaktive Inventar, das aus den während des Betriebs entstehenden Spalt- und Aktivierungsprodukten besteht, nicht unkontrolliert freigesetzt werden darf. Es wurde daher von vornherein ein sogenanntes Mehrstufenkonzept („defence-in-depth“) eingeführt, um die in jeder technischen Anlage auftretenden Störungen innerhalb des Kraftwerks zu beherrschen und Unfälle auszuschließen.
Das Mehrstufenkonzept besteht heute aus 4 Ebenen. Die Ebenen 1 bis 3 – Normalbetrieb, Betriebsstörungen und Auslegungsstörfälle – wurden bereits bei der Reaktorauslegung berücksichtigt und dienen der Störfallverhinderung beziehungsweise der Störfallvermeidung. Zur Vermeidung und Begrenzung von schweren Unfällen ist mit dem sogenannten „anlageninternen Notfallschutz“ nachträglich die 4. Ebene eingeführt worden.
Die Wirksamkeit des Defence-in-Depth wird zum einen durch die technische Auslegung sichergestellt. Zum anderen durch die Umsetzung der sogenannten Sicherheitskultur. Die Sicherheitskultur stellt die Gesamtheit der Eigenschaften und Einstellungen von Personen und Organisationen dar, die dafür sorgt, dass dem sicheren Anlagenbetrieb die höchste Priorität zukommt.
Ein Pfeiler der Sicherheitskultur ist die Sicherheitsforschung. In diesem Vortrag werden zwei Beispiele der aktuellen Arbeiten im Forschungszentrum Rossendorf zu sicherheitsrelevanten Fragestellungen behandelt: die sogenannten Borverdünnungstransienten und die Kernschmelzerückhaltung im Reaktordruckbehälter.
Ein kurzer Überblick über die Historie der verschiedenen Kernkraftwerksgenerationen und die Erläuterung der wesentlichen Eigenschaften der aktuell in Bau befindlichen dritten Generation sowie der heute in der internationalen Forschung betrachteten zukünftigen Reaktortypen (Generation IV) schließen den Vortrag ab.

Keywords: Safety of Nuclear Power Plants; Defence in Depth; Saftey Research; Boron Dilution Transients; In Vessel Retention; Generation III; Generation IV

  • Invited lecture (Conferences)
    Vortragsreihe des VDI, Dresdner Bezirksverein - Arbeitskreis Energietechnik, 06.02.2007, Dresden, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9268


Application of a Mass Separated Focused Ion Beam

Bischoff, L.; Akhmadaliev, C.; Pilz, W.; Schmidt, B.

During the last decades, the focused ion beam (FIB) became a very useful and versatile tool in the microelectronics industry, as well as in the field of research and development. For special purposes like writing ion implantation or ion mixing in the µm- or sub-µm range ion species other than gallium are needed. Therefore alloy liquid metal ion sources (LMIS) were developed. The energy distribution of the ions from an alloy LMIS is one of the determining factors for the performance of an FIB column. Different source materials like Au73Ge27, Au82Si18, Au77Ge14Si9, Co36Nd64, Er69Ni31, and Er70Fe22Ni5Cr3 were investigated with respect to the energy spread of the different ion species as a function of emission current I, ion mass m and emitter temperature T. For singly charged ions a predicted dependence of the energy spread, DE µ I2/3 m1/3 T1/2 found for Ga could be reasonable confirmed. The alloy LMIS`s discussed above have been used in the Rossendorf FIB system IMSA-OrsayPhysics especially for writing implantation to fabricate sub-µm pattern without any lithographic steps. A Co-FIB obtained from a Co36Nd64 alloy LMIS was applied for the ion beam synthesis of CoSi2 micro-structures down to 60 nm. Additionally, the possibility of varying the current density of the FIB by changing the pixel dwell-time was used for investigations of radiation induced damage and its dynamic annealing in Ge, Si and SiC at elevated implantation temperatures. Furthermore, a broad spectrum of ions was employed to study the sputtering process depending on temperature, angle of incidence and ion mass on a couple of target materials using the volume loss method. Especially this direct patterning 3D technique was used for the fabrication of various kinds of micro-tools.
All these examples underline the importance of FIBs in modern research and the new possibilities opened up by a mass separated system applying a broad spectrum of ion species.

Keywords: FIB; alloy LMIS; micro- and nanostructures

  • Poster
    1. FIB Workshop, Focused Ion Beams In Research, Science and Technology May 22 – 23, 2006, IFW Dresden, Germany, 22.-23.05.06, Dresden, Germany

Permalink: https://www.hzdr.de/publications/Publ-9267


Mn containing alloy LMIS for Spintronics

Akhmadaliev, C.; Pilz, W.; Bischoff, L.

Magnetic ions such as Mn are of increasing interest for the structuring of diluted magnetic semiconductors, e. g. spin-based optoelectronics in III-V as well as II-VI materials. Only a few Mn alloy liquid metal ion sources (LMIS) for nano-patterning are reported, so an Au-Si-Mn or an Au-Ge-Mn sources were used in mass separated FIB applications. The Mn-Ge alloy LMIS (melting temperature of 720°C) showed a stable operation at emission current of 2 - 30 µA. The slope of the I-V characteristic curve was ~0.11 µA/V at a heating current of about 2.6 A.

Keywords: LMIS; focused ion beam

  • Poster
    The European Focused Ion Beam Users Group Meeting(EFUG), 02.10.06, Wuppertal, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9266


Formation of CoSi2 nanowires and nanochains in silicon by direct FIB writing

Akhmadaliev, C.; Bischoff, L.; Schmidt, B.; Mücklich, A.

A Focused Ion Beam (FIB) equipped with a CoNd alloy liquid metal ion source was used for the formation of cobalt disilicide nanowires and nanochains by an ion beam synthesis process. Co ions at 60 keV were implanted into silicon (111) and (100) substrates at 400-450°C followed by a two-step annealing at 600°C and 1000°C. During the FIB patterning of the samples using a digital scanning system the dose, the pixel dwell time and the relaxation time between the irradiation cycles were varied. The FIB spot size was in the range of 40 nm. The formation of long, stable nanowires occurs along the favoured <110>-crystal direction. The misalignment of the FIB trace relative to this direction leads to a decay of the wire into shorter ones or to the formation of chains of single-crystalline nanoparticles. Nanowires of 20-80 nm diameter and lengths up to 50 microns were obtained. Imaging of nanostructures was done in-plane by SEM, AFM and TEM. Cross-sections through nanowires were prepared by conventional Ga FIB milling across the nanowire. Further efforts will be concentrated in a better control of the nanowires growth, in the characterization of the electrical properties and in the fabrication of nanodevices.

Keywords: Cobalt disilicide; nanowire; focused ion beam

  • Lecture (Conference)
    DPG-Tagung 2006, 27.-31.03.06, Dresden, Deutschland

Permalink: https://www.hzdr.de/publications/Publ-9265


Efficient oxidation protection of gamma-TiAl alloys by ion implantation of halogens

Yankov, R. A.; Richter, E.; Donchev, A.; Schütze, M.

In this work, gamma-TiAl samples have been rendered highly oxidation-resistant by plasma immersion ion implantation using various Cl- or F-containing precursor gases. PI3 processing has been preceded by beamline ion implantation of either Cl or F because of the well-established nature of the process. Implanting F gives better results. Further work has involved the co-implantation of F and Si to study the combined effect of these elements on the alloy’s high temperature behavior.

Keywords: Titanium aluminides; ion implantation; oxidation resistance

  • Lecture (Conference)
    International Conference on Ion Beam Modification of Materials, Taormina, Sicily, Italy, 18 – 22 September 2006, 18.-22.09.2006, Catania, Sicily, Italy

Permalink: https://www.hzdr.de/publications/Publ-9264


FIB assisted silicide nanowires formation in silicon

Akhmadaliev, S.; Bischoff, L.; Schmidt, B.

Focused Ion Beam (FIB) is one of the most suitable tools for sub-µm structure fabrication, modification and investigation. Combining FIB and self organization processes during ion beam synthesis a reduction of the FIB written structures can be provided. The Rossendorf FIB system allows the operation with a Ga liquid metal ion source (LMIS) as well as with a CoNd alloy LMIS. Formation of CoSi2 nanoparticles and nanowires in silicon was investigated using Co++ ions from the CoNd LMIS. Si(111) and Si(100) samples were implanted with a fine focused cobalt ion beam at elevated sample temperatures as well as at room temperature. Subsequent annealing steps lead to the formation crystalline CoSi2 long nanowires with diameter down to 10-20 nm in the crystalline substrate. These structures were analyzed using SEM and AFM. Two main mechanisms of the nanowires formation have been observed: via coalescence of the implanted Co nanoparticles with following Oswald ripening and via diffusion of Co atoms during annealing into “linear defects” induced by FIB irradiation. Further efforts are concentrated in increasing of reproducibility of nanowire growth and then the fabrication of nanodevices.

Keywords: Cobalt disilicide; nanowire; focused ion beam; ion beam synthesis

  • Lecture (Conference)
    E-MRS Spring Meeting 2006, 29.05.-02.06.06, Nice, France

Permalink: https://www.hzdr.de/publications/Publ-9263


Improvement of the oxidation behavior of TiAl-alloys by treatment with halogens

Donchev, A.; Richter, E.; Schütze, M.; Yankov, R.

The paper addresses the enhancement of the oxidation resistance of gamma-TiAl alloys with the aim of making them suitable for applications at temperatures in excess of 750 °C. Improvements in the oxidation resistance have been achieved by ion-implanting halogens, notably Cl and F, which favors the formation of an adherent protective alumina scale. Additional results are presented pertinent to the high-temperature creep behavior of the halogen-implanted alloys.

Keywords: Titanium aluminides; Ion implantation; Halogens; Oxidation; Creep

  • Intermetallics 14(2006)10-11, 1168-1174

Permalink: https://www.hzdr.de/publications/Publ-9262


Dampfgehaltsmessung in Brennelementbündeln mittels hochauflösender Gammatomographie

Bieberle, A.; Kronenberg, J.; Hampel, U.

Für die Bestimmung des Dampfgehaltes in Brennelementbündeln wurde ein hochauflösendes Gammatomographie Messsystem am Forschungszentrum Dresden - Rossendorf entwickelt und erste Testmessungen an der Testanlage KATHY der Firma AREVA NP GmbH in Karlstein durchgeführt. Gegenstand des Vortrages ist die Vorstellung des Messsystems und erste Ergebnisse, die im Rahmen meiner Promotionsvorhabens entstanden sind.

Keywords: Gamma Tomographie

  • Lecture (others)
    Kompetenzzentrum Ost für Kerntechnik - Doktorandenseminar, 15.12.2006, Dresden, Germany

Permalink: https://www.hzdr.de/publications/Publ-9261


Gamma ray computed tomography for fast rotating objects

Bieberle, A.; Hampel, U.

Recently, we have developed a high resolution gamma ray computed tomography system to analyze processes at hydro dynamic and multi phase flow facilities non-invasively. Typically, the measurement system consisting of a collimated isotopic source and a gamma ray detector is rotated around the object of investigation to record projections from different angle positions in one plane. In our case we use Cs137 with an activity of about 165 GBq. For fast rotating objects our developed measurement system is arranged in a fixed position and the stir of the object is used. By cyclically read-out of the measurement system’s electronic the number of projections per revolution can be determined. A trigger signal that is also included in the data transfer indicates a full revolution. That information is important for the later data processing if the object is rotating non-uniformly and thus the number of projections per revolution differs. After the recording the data of one projection can be resorted in a matrix – called sinogram - to the corresponding angle position column. Typical applications areas are the behaviour analysis of hydro dynamic couplings and the determination of gas fraction in a stirrer vessel reactor. Therefore we developed a new electronic that is compatible to the existing digital unit and realizes a periodical read-out of our 320 single detector arc every 40 µs. That means for an object that is rotating with 1500 rpm 1000 projections can be recorded per revolution.

Keywords: high speed gamma ray tomography

  • Contribution to proceedings
    5th World Congress on Industrial Process Tomography, 03.-06.09.07, Bergen, Norway, IPS01
  • Lecture (Conference)
    5th World Congress on Industrial Process Tomography, 03.-06.09.07, Bergen, Norway

Permalink: https://www.hzdr.de/publications/Publ-9260


Pages: [1.] [2.] [3.] [4.] [5.] [6.] [7.] [8.] [9.] [10.] [11.] [12.] [13.] [14.] [15.] [16.] [17.] [18.] [19.] [20.] [21.] [22.] [23.] [24.] [25.] [26.] [27.] [28.] [29.] [30.] [31.] [32.] [33.] [34.] [35.] [36.] [37.] [38.] [39.] [40.] [41.] [42.] [43.] [44.] [45.] [46.] [47.] [48.] [49.] [50.] [51.] [52.] [53.] [54.] [55.] [56.] [57.] [58.] [59.] [60.] [61.] [62.] [63.] [64.] [65.] [66.] [67.] [68.] [69.] [70.] [71.] [72.] [73.] [74.] [75.] [76.] [77.] [78.] [79.] [80.] [81.] [82.] [83.] [84.] [85.] [86.] [87.] [88.] [89.] [90.] [91.] [92.] [93.] [94.] [95.] [96.] [97.] [98.] [99.] [100.] [101.] [102.] [103.] [104.] [105.] [106.] [107.] [108.] [109.] [110.] [111.] [112.] [113.] [114.] [115.] [116.] [117.] [118.] [119.] [120.] [121.] [122.] [123.] [124.] [125.] [126.] [127.] [128.] [129.] [130.] [131.] [132.] [133.] [134.] [135.] [136.] [137.] [138.] [139.] [140.] [141.] [142.] [143.] [144.] [145.] [146.] [147.] [148.] [149.] [150.] [151.] [152.] [153.] [154.] [155.] [156.] [157.] [158.] [159.] [160.] [161.] [162.] [163.] [164.] [165.] [166.] [167.] [168.] [169.] [170.] [171.] [172.] [173.] [174.] [175.] [176.] [177.] [178.] [179.] [180.] [181.] [182.] [183.] [184.] [185.] [186.] [187.] [188.] [189.] [190.] [191.] [192.] [193.] [194.] [195.] [196.] [197.] [198.] [199.] [200.] [201.] [202.] [203.] [204.] [205.] [206.] [207.] [208.] [209.] [210.] [211.] [212.] [213.] [214.] [215.] [216.] [217.] [218.] [219.] [220.] [221.] [222.] [223.] [224.] [225.] [226.] [227.] [228.] [229.] [230.] [231.] [232.] [233.] [234.] [235.] [236.] [237.] [238.] [239.] [240.] [241.] [242.] [243.] [244.] [245.] [246.] [247.] [248.] [249.] [250.] [251.] [252.] [253.] [254.] [255.] [256.] [257.] [258.] [259.] [260.] [261.] [262.] [263.] [264.] [265.] [266.] [267.] [268.] [269.] [270.] [271.] [272.] [273.] [274.] [275.] [276.] [277.] [278.] [279.] [280.] [281.] [282.] [283.] [284.] [285.] [286.] [287.] [288.] [289.] [290.] [291.] [292.] [293.] [294.] [295.] [296.] [297.] [298.] [299.] [300.] [301.] [302.] [303.] [304.] [305.] [306.] [307.] [308.] [309.] [310.] [311.] [312.] [313.] [314.] [315.] [316.] [317.] [318.] [319.] [320.] [321.] [322.] [323.] [324.] [325.] [326.] [327.] [328.] [329.] [330.] [331.] [332.] [333.] [334.] [335.] [336.] [337.] [338.] [339.] [340.] [341.] [342.] [343.] [344.] [345.] [346.] [347.] [348.] [349.] [350.]